Novel Uses of Titanium Dioxide for Silicon Solar Cells Doctor of Philosophy

Novel Uses of Titanium Dioxide for
Silicon Solar Cells
A thesis submitted as partial fulfillment
of the requirement for the Degree of
Doctor of Philosophy
by
Bryce Sydney Richards
at the
Centre for Photovoltaic Engineering
and the
School of Electrical Engineering
University of New South Wales
Sydney 2052
New South Wales
Australia
April 2002
CENTRE FOR
PHOTOVOLTAIC
ENGINEERING
UNSW
Certificate of Originality
I hereby declare that this submission is my own work and that, to the best of my knowledge
and belief, it contains no material previously published or written by any other person
nor material which to a substantial extent has been accepted for the award of any other
degree or diploma of a university or other institute of higher learning, except where due
acknowledgment is made in the text.
I also declare that the intellectual content of this thesis is the product of my own work,
even though I may have received assistance from others on style, presentation and language
expression.
Bryce Richards
Richards, Bryce Sydney
Novel Uses of Titanium Dioxide for Silicon Solar Cells
PhD Thesis
Centre for Photovoltaic Engineering
The University of New South Wales Sydney, NSW 2052, Australia
c 2002 all rights reserved
Copyright ISBN 0 7334 1971 2
Abstract
Titanium dioxide (TiO2 ) thin films have a long history in silicon photovoltaics (PV) as
antireflection (AR) coatings due to their excellent optical properties and low deposition
cost. This work explores several novel areas where TiO2 thin films could be use to enhance
silicon (Si) solar cell performance while reducing device fabrication costs.
Amorphous, anatase and rutile TiO2 thin films are deposited using ultrasonic spraydeposition (USD) and chemical vapour deposition (CVD) systems, both designed and constructed by the author. Initial experiments confirmed that no degradation in the bulk
minority carrier lifetime (τbulk ) occurred during high-temperature processing, although the
stability of the USD-deposited TiO2 films was dependent on the furnace ambient.
A major disadvantage of TiO2 AR coatings is that they afford little surface passivation. In
this work, a novel method of achieving excellent surface passivation on TiO2 -coated silicon
wafers is presented. This involved growing a 6 nm-thick SiO2 layer at the TiO2 :Si interface by
oxidising the wafer after TiO2 film deposition. The increase in surface passivation afforded by
the interfacial SiO2 layer results in a decrease in the emitter dark saturation current density
(J0e ) by nearly two orders of magnitude to 4.7 − 7.7 × 10−14 A/cm2 . This demonstrates the
compatibility of the TiO2 /SiO2 stack with high-efficiency solar cells designs.
By varying the film deposition and annealing conditions, TiO2 refractive indices in the
range of 1.726 − 2.633 (at λ = 600 nm) could be achieved. Subsequently, a double-layer
antireflection (DLAR) coating was designed comprised of low and high TiO2 refractive index
material. The best experimental weighted average reflectance (Rw ) achieved was 6.5% on a
planar silicon wafer in air. TiO2 DLAR coatings are ideally suited to multicrystalline silicon
(mc-Si) wafers, which do not respond well to chemical texturing.
Modelling performed for a glass and ethyl vinyl acetate (EVA) encapsulated buried-contact
solar cell indicated that a TiO2 DLAR coating afforded a 7% increase in the short circuit
current density, when compared to a standard, commercially-deposited TiO2 single-layer AR
coating.
Finally, it is demonstrated that chemical reactions with phosphorus prevent TiO2 from acting
as a successful phosphorus diffusion barrier or dopant source. The applicability of TiO2 thin
films to various silicon solar cell structures is discussed.
Acknowledgements
Many people contributed to the success of this work and my survival throughout.
First, and foremost, I need to thank (yes, thank!) my partner, Andrea Sch¨afer, for leading
me along the path to the PhD. Somehow witnessing all the good and the bad moments
during her PhD, ended up creating a positive image for me! Andrea also provided invaluable
guidance and tips along the way, and created many shortcuts through the bureaucracy for
me. I will never forget your assistance Andrea, and am deeply indebted to you. Vielen
Dank, and may our love only grow stronger.
Another big Danke, goes out to our daughter, Moana Sch¨afer, who witnessed just over half
of my efforts. Thanks for keeping my feet firmly planted on the ground and not letting me
drift too far off into “PhD land”! Thank you for all our fun times, and my apologies for the
times when my patience wasn’t sufficient to see your needs.
Naturally, I would like to thank the input from my supervisors of the years: to Stuart
Wenham (UNSW) for his enthusiasm and encouragement; to Christiana Honsberg (UNSW
and Georgia Institute of Technology, U.S.A.) for her moral and monetary support; to
Francesca Ferrazza (Eurosolare S.p.A.) for the opportunities to see the “real” side of
photovoltaics and for being a true friend; and to Jeff Cotter for his valuable advice during
the latter stage of the thesis.
Several members brought their own special personalities to the Centre and made it a fun
and challenging work place. These people include Keith McIntosh, Hamid Mehrvarz, Holger
Neuhaus, Alex Slade, Bernhard Vogl, Rob Bardos, Matt Boreland, Martin Bruahart and
Tom Puzzer. Thanks for all the ethical, moral and technical conversations. Thanks too for
the great computer support, Laurie!
I would like to thank other people who assisted with TiO2 thin film characterisation:
Dr. Tom Puzzer (UNSW) for SEM/AFM training; Prof. Robert Lamb (UNSW) and
Dr. Matt Boreland (Toyota Technical Institute, Japan) for XPS analysis; Prof. David
Jamieson (Univ. of Melbourne) for RBS analysis; Sally Rowlands and Prof. Trevor
Redgrave (both Univ. of Western Australia) for training and access to the variable-angle
spectroscopic ellipsometer (VASE); Dr. Alistair Sproul (UNSW), author of the forthcoming
book “Ellipsometry for Dummies”; and to my father, Dr. Ray Richards (Lower Hutt, New
Zealand), for his assistance in bringing me up to speed on thermochemistry analysis.
2
I am grateful for the guidance in my career provided by Dr. Andrea Sch¨afer, Prof. Mark Wainwright, Prof. Stuart Wenham and Prof. Martin Green. The financial support provided by the
Faculty of Engineering, the School of Electrical Engineering and the Centre for Photovoltaic
Engineering was greatly appreciated.
Publications Resulting from this Thesis (to date)
B.S. Richards (2004) Comparison of Dielectric Coatings for Buried-Contact Solar Cells: A
Review, Progress in Photovoltaics 12 (in press).
B.S. Richards, S.R. Richards, M.B. Boreland, D.N. Jamieson (2004) High Temperature
Processing of TiO2 Thin Films for Application in Silicon Solar Cells, Journal of Vacuum
Science and Technology A, 22(2): 339-348.
B.S. Richards, S.F. Rowlands, A. Ueranatasun, J.E. Cotter, C.B. Honsberg (2004) Reducing
the Production Costs of Buried-Contact Solar Cells using Titanium Dioxide Thin Films,
Solar Energy, 76(1-3): 269-276.
B.S. Richards (2003) Single-Material TiO2 Double-Layer Antireflection Coatings, Solar
Energy Materials and Solar Cells, 79(3), 369-390.
B.S. Richards, S.F. Rowlands, C.B. Honsberg, J.E. Cotter (2003) TiO2 DLAR Coatings for
Planar Silicon Solar Cells, Progress in Photovoltaics, 11(1), 27-32.
B.S. Richards, J.E. Cotter and C.B. Honsberg (2002) Enhancing the surface passivation of
TiO2 coated silicon wafers, Appl. Phys. Letters, 80(7), 1123-1125.
B.S. Richards, S.F. Rowlands, A. Ueranatasun, J.E. Cotter, and C.B. Honsberg (2001)
Reducing the production costs of buried-contact solar cells using titanium dioxide thin films,
Intl. Solar Energy Society Solar World Congress, 26-30 November, Adelaide.
B.S. Richards, J.E. Cotter, C.B. Honsberg and S.R. Wenham (2000) Novel Uses of TiO2
Films in Crystalline Silicon Solar Cells, 28th IEEE Photovoltaic Specialists Conference,
Alaska, 375-378.
C.B. Honsberg, J.E. Cotter, K.R. McIntosh, S. Pritchard, B.S. Richards and S.R. Wenham,
(1999), Design strategies for commercial solar cells using the buried contact technology,
IEEE Trans. Electron Devices, 46(10), 1984-92.
B.S. Richards, J.E. Cotter, F. Ferrazza, C.B. Honsberg and S.R. Wenham (1998) Lowering
the cost of commercial silicon solar cells, Proc. of the Environmental Engineering Research
Event 1998, Avoca Beach, New South Wales, 303-308.
J.E. Cotter, B.S. Richards, F. Ferrazza, C.B. Honsberg, T.W. Leong, H.R. Mehrvarz,
G.A. Naik and S.R. Wenham (1998) Design of a simplified emitter structure for buried contact
solar cells, 2nd World Conference Photovoltaic Energy Conversion, Vienna, 1511-1514.
Contents
1 Introduction
9
1.1
Motivation for this Work . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
9
1.2
Australia’s Solar Energy Resource . . . . . . . . . . . . . . . . . . . . . . . .
11
1.3
Brief Theory of Solar Cell Operation . . . . . . . . . . . . . . . . . . . . . .
12
1.4
Commercially Produced Silicon Solar Cells . . . . . . . . . . . . . . . . . . .
14
1.4.1
Screen-Printed Solar Cells . . . . . . . . . . . . . . . . . . . . . . . .
14
1.4.2
Buried-Contact Solar Cells . . . . . . . . . . . . . . . . . . . . . . . .
15
1.4.3
Buried-Contact Solar Cell Fabrication Sequence . . . . . . . . . . . .
16
1.4.4
Simplified Buried-Contact Solar Cell . . . . . . . . . . . . . . . . . .
16
Multicrystalline Silicon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
18
1.5.1
Issues with Multicrystalline Silicon . . . . . . . . . . . . . . . . . . .
19
Why use Titanium Dioxide? . . . . . . . . . . . . . . . . . . . . . . . . . . .
20
TiO2 Thin Films in Photovoltaics . . . . . . . . . . . . . . . . . . . .
21
Thesis Overview and Goal . . . . . . . . . . . . . . . . . . . . . . . . . . . .
25
1.5
1.6
1.6.1
1.7
2 Common Properties of TiO2 Thin Films
29
2.1
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
29
2.2
Physical Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
30
The Amorphous − Anatase − Rutile Phase
Transformations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
30
2.2.1
2.2.2
The Effect of Impurities on the Anatase − Rutile Phase Transformation 32
2.2.3
Substrate Type . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3
33
4
CONTENTS
2.3
2.2.4
Film Defects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
34
2.2.5
Film Density . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
34
2.2.6
Non-Stoichiometric TiO2−x Thin Films . . . . . . . . . . . . . . . . .
36
Optical Properties
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
37
Refractive Index, Extinction Coefficient and
Scattering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
37
2.3.2
TiO2 Thin Film vs. Single Crystal . . . . . . . . . . . . . . . . . . .
39
2.3.3
Variation with Deposition and Annealing Temperature . . . . . . . .
40
2.3.4
Variation with Deposition and Annealing Ambient . . . . . . . . . . .
45
2.3.5
Variation with Other Deposition Conditions . . . . . . . . . . . . . .
48
2.3.6
Optical Properties of Highly Porous TiO2 Films . . . . . . . . . . . .
48
Electrical Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
50
2.4.1
TiO2 : Insulator or Conductor? . . . . . . . . . . . . . . . . . . . . . .
50
2.4.2
Non-Stoichiometric TiO2−x Thin Films . . . . . . . . . . . . . . . . .
50
2.4.3
Variation with Deposition or Annealing Ambient . . . . . . . . . . .
51
2.4.4
Doped TiO2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
51
Chemical Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
52
2.5.1
Chemicals used in Making Solar Cells . . . . . . . . . . . . . . . . . .
52
2.5.2
Hydrofluoric Acid . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
54
2.5.3
Other Acids and Bases . . . . . . . . . . . . . . . . . . . . . . . . . .
56
Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
57
2.3.1
2.4
2.5
2.6
3 TiO2 Thin Film Deposition Equipment
59
3.1
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
59
3.2
Overview of TiO2 Thin Film Deposition Methods . . . . . . . . . . . . . . .
62
3.3
Ultrasonic Spray Deposition . . . . . . . . . . . . . . . . . . . . . . . . . . .
65
3.4
Theory of Ultrasonic Spray Deposition . . . . . . . . . . . . . . . . . . . . .
67
3.5
TPT: The TiO2 Precursor . . . . . . . . . . . . . . . . . . . . . . . . . . . .
70
3.5.1
70
Why TPT? . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
CONTENTS
3.5.2
3.6
3.7
3.8
5
The TPT→TiO2 Reaction . . . . . . . . . . . . . . . . . . . . . . . .
72
Design of Ultrasonic Spray Deposition System . . . . . . . . . . . . . . . . .
74
3.6.1
Selection of Ultrasonic Nozzle . . . . . . . . . . . . . . . . . . . . . .
74
3.6.2
Ultrasonic Nozzle Performance . . . . . . . . . . . . . . . . . . . . . .
76
3.6.3
Liquid Delivery . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
77
3.6.4
Substrate Heater . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
78
3.6.5
Motorized Stage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
81
3.6.6
Spray Shaping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
82
3.6.7
Miscellaneous Equipment . . . . . . . . . . . . . . . . . . . . . . . . .
82
3.6.8
Operation of the TiO2 Spray System . . . . . . . . . . . . . . . . . .
85
Design of CVD System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
87
3.7.1
Motivation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
87
3.7.2
TPT Bubbler and Temperature Control . . . . . . . . . . . . . . . .
87
3.7.3
Water Vapour Bubbler . . . . . . . . . . . . . . . . . . . . . . . . . .
88
3.7.4
Operation of the CVD System . . . . . . . . . . . . . . . . . . . . . .
88
Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
89
4 Characterisation of TiO2 Thin Films
91
4.1
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
91
4.2
FTIR Spectroscopy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
92
4.3
Raman Spectroscopy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
95
4.4
X-ray Photoelectron Spectroscopy . . . . . . . . . . . . . . . . . . . . . . . .
98
4.5
Rutherford Back-Scattering Spectroscopy . . . . . . . . . . . . . . . . . . . .
99
4.6
Ellipsometry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101
4.6.1
Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101
4.6.2
Ellipsometers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104
4.6.3
Lorentz Oscillator Model . . . . . . . . . . . . . . . . . . . . . . . . . 106
4.6.4
Surface Roughness Model . . . . . . . . . . . . . . . . . . . . . . . . 107
4.6.5
Ellipsometric measurements of Spray Deposited TiO2 Thin Films . . 107
6
CONTENTS
4.6.6
SE measurements of CVD TiO2 Thin Films . . . . . . . . . . . . . . 109
4.7
Reflectance Spectrophotometry . . . . . . . . . . . . . . . . . . . . . . . . . 109
4.8
Electron Microscopy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 109
4.9
4.8.1
USD-Deposited TiO2 Thin Films . . . . . . . . . . . . . . . . . . . . 110
4.8.2
APCVD-Deposited TiO2 Thin Films . . . . . . . . . . . . . . . . . . 110
4.8.3
CVD-Deposited TiO2 Thin Films . . . . . . . . . . . . . . . . . . . . 110
Atomic Force Microscopy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115
4.10 Chemical Resistance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117
4.11 Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 118
5 Enhancing the Passivation of TiO2 -coated Wafers
121
5.1
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121
5.2
Stability of TiO2 at High-Temperatures . . . . . . . . . . . . . . . . . . . . . 123
5.3
5.4
5.2.1
Titanium Contamination of Silicon . . . . . . . . . . . . . . . . . . . 123
5.2.2
Reduction of TiO2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . 125
Methods of Achieving Surface Passivation with TiO2 Thin Films . . . . . . . 130
5.3.1
Growth of SiO2 at the TiO2 :Si Interface . . . . . . . . . . . . . . . . 133
5.3.2
TiO2 on PSG . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 137
Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 139
6 Performance of TiO2 Thin Films as a Phosphorus Diffusion Barrier and
Dopant Source
141
6.1
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 141
6.2
TiO2 as a Phosphorus Diffusion Barrier . . . . . . . . . . . . . . . . . . . . . 142
6.3
6.2.1
Experiment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 144
6.2.2
Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 144
6.2.3
Discussion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 146
TiO2 as a Phosphorus Dopant Source . . . . . . . . . . . . . . . . . . . . . . 149
6.3.1
Experiment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 151
6.3.2
Results and Discussion . . . . . . . . . . . . . . . . . . . . . . . . . . 151
CONTENTS
6.4
7
Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 152
7 TiO2 Antireflection Coatings
153
7.1
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 153
7.2
Previous Developments in AR Coatings . . . . . . . . . . . . . . . . . . . . . 155
7.3
7.4
7.2.1
Theory and Design of AR Coatings . . . . . . . . . . . . . . . . . . . 155
7.2.2
TiO2 AR Coatings . . . . . . . . . . . . . . . . . . . . . . . . . . . . 160
7.2.3
Silicon Nitride AR Coatings . . . . . . . . . . . . . . . . . . . . . . . 165
Varying the Optical Properties of TiO2 . . . . . . . . . . . . . . . . . . . . . 167
7.3.1
Deposition Temperature . . . . . . . . . . . . . . . . . . . . . . . . . 168
7.3.2
Annealing Temperature
7.3.3
Deposition Ambient
7.3.4
Annealing Ambient . . . . . . . . . . . . . . . . . . . . . . . . . . . . 181
. . . . . . . . . . . . . . . . . . . . . . . . . 174
. . . . . . . . . . . . . . . . . . . . . . . . . . . 178
Development of Novel TiO2 AR Coatings . . . . . . . . . . . . . . . . . . . . 183
7.4.1
Single-layer TiO2 AR Coatings . . . . . . . . . . . . . . . . . . . . . 183
7.4.2
Double-layer TiO2 AR Coatings . . . . . . . . . . . . . . . . . . . . . 186
7.5
Performance of TiO2 DLAR-Coated Solar Cells . . . . . . . . . . . . . . . . 193
7.6
Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 196
8 Conclusions
199
8.1
Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 199
8.2
Applicability to Various Solar Cell Processes . . . . . . . . . . . . . . . . . . 203
8.3
Suggestions for Further Work . . . . . . . . . . . . . . . . . . . . . . . . . . 205
A TiO2 AR Coating Modelling Parameters
207
A.1 Variation of n and k with Deposition Temperature . . . . . . . . . . . . . . . 208
A.2 Variation of n and k with Annealing Temperature . . . . . . . . . . . . . . . 212
A.3 Variation of n and k with Deposition Ambient . . . . . . . . . . . . . . . . . 218
A.4 Variation of n and k with Annealing Ambient . . . . . . . . . . . . . . . . . 220
A.5 TiO2 DLAR Coatings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 225
8
CONTENTS
Chapter 1
Introduction
Photovoltaics (PV) will play an important role in the world’s future energy trends, however
the major hurdles faced in widespread implementation of renewable energy are of a social,
not technical, nature. This chapter briefly discusses Australia’s potential to become a major
player in the future solar energy industry. The differences between the two dominant commercially produced silicon solar cells, screen-print (SP) and buried-contact (BC), are briefly
examined. The BC technology was introduced in the mid-1980’s and designed for single
crystal silicon (c-Si) wafers. A trend over recent years has seen lower-cost, multicrystalline
silicon (mc-Si) wafers now dominate the marketplace. The BC fabrication sequence has
several lengthy high-temperature processing steps fabrication. The processing costs currently
outweigh the performance enhancement offered by the buried-contact technology when using
mc-Si wafers and, today, only SP solar cells are produced on mc-Si substrates.
The most crucial high-temperature processing step in the buried-contact fabrication sequence
is the growth of a thick, thermal oxide layer. If this layer could be replaced by a thin dielectric film, deposited at low temperature and such a film was able to withstand processing
in phosphorus-containing ambients, a buried-contact solar cell could be fabricated with about
one hour of high-temperature processing steps. This proposition seemed attractive for application of the BC technology to multicrystalline silicon wafers in a cost-effective manner.
One logical choice for this film is titanium dioxide (TiO2 ), due to its prevalence in the PV
industry, high optical performance and low cost. A review of roles that TiO2 films have played
in the photovoltaics industry is presented, before discussing the overview of the remainder of
the thesis.
1.1
Motivation for this Work
The amount of solar energy that strikes earth in a period of a few days is greater than the
amount of fuel burnt overt the course of the whole human history,1 which encourages one to
9
10
1. Introduction
think about ways in which this energy could be effectively harnessed in order to satisfy our
ever-increasing demand for energy. While this may sound like a gross oversimplification of
the impending energy crisis facing humankind, it brings into perspective the amount of power
that is constantly being radiated by the sun. The primary focus of most developed nations
needs at this stage should be looking at ways of radically reducing the current consumption
of energy and raw materials. In fact, we are all so used to consuming that our language now
defines our role in society as “consumers”. A 1995 study stated that for each American, 20
tonnes of new materials have to be provided every year, including energy equivalent to 7.6
tonnes of oil (or 12 tonnes of coal).2 If the world’s projected population in the year 2070
consumed energy at this rate, the world energy production would have to be fourteen times
greater than its current capacity and all potentially recoverable energy resources would be
depleted in about eighteen years2 !
Therefore, if close to 11 billion people are to live on this planet in 70-years time we need to
become “conservers” rather than consumers. The earth does not contain enough resources
for each person in a developed nation to sustain our resource-intensive lifestyle. In the same
manner, the economies of Western nations simply cannot continue to grow at targeted rate
of 4% p.a., no matter what the politicians say. The Australian environmental thinker and
activist, Ted Trainer, claims that the only type of economic growth that can be said to be
truly ecologically sustainable is one that has a 0% growth rate per annum.2 Trainer also
argues that “technological fixes” will not get us there either, as the main problems faced
are social.2 So while the pursuit of renewable energy is a worthy cause, a truly sustainable
solution can only be reached if we were to consume a small fraction of what we use in our
affluent lifestyle today.
If photovoltaics (PV), the direct conversion of sunlight into electricity via solar cells, is to
have a major and timely impact upon our current global predicament, the costs of production
need to be significantly reduced before PV can compete head to head with fossil fuels.
The cost of generating electricity directly from solar cells is slowly, but steadily, reducing.
Figure 1.1 shows that the cost of purchasing PV modules is expected to reduce from today’s
US$4 /watt-peak (Wp ) to <US$2 Wp by about 2010.1 Many forecasts have been published
announcing that silicon or other thin-film based technologies will dominate the marketplace
in five years time, however silicon-wafer-based technologies still comprise more than 85% of
all PV module sales.3 Additionally, since 1998 more multicrystalline silicon (mc-Si) solar
cells have been produced than crystalline silicon (c-Si) cells.3 This is due to the cheaper
fabrication costs of mc-Si and only a slight reduction in performance with current dominant
solar cell technologies.
Average selling price (1998 US$/Watt)
1.2 Australia’s Solar Energy Resource
1 0 0 .0 0
11
1978
1980
1985
1990
1995
1 0 .0 0
1998
2000
1 .0 0
1
10
100
1000
10000
Accumulated shipments (megawatts)
Figure 1.1: Historical cost of purchasing PV modules from 1978 to 2000,
and an extrapolation of the linear trend to increased shipments in the
future (from Green 1 ).
1.2
Australia’s Solar Energy Resource
Australia has recently implemented a PV rebate scheme has at both State and Federal
level in an attempt to help Australia meet its target of generating an additional 9500 GWh
(about 1%) of electricity from renewable energy sources by the year 2010. There is definite
potential for a much greater expansion in PV in Australia, considering that many areas
of Australia receive more than twice the amount of solar radiation than countries such as
Germany (as shown in Figure 1.2), which already has a large installed PV capacity. It is also
apparent from Figure 1.2(a) that the majority of Australians do not live in the sunny central
and northern regions of the country. However, the cities of Perth, Adelaide, and all of the
densely populated East Coast (Sydney and further north) still receive four or more sunshine
hours a day of full sunshine (1 kW/m2 ). This is an excellent (and free) resource, which
remains relatively untapped. Additionally, PV panels can easily be mounted on existing
north-facing roofs and included into fa¸cades of office buildings. This means that power can
be generated from within densely populated areas, obviating the requirement of setting aside
large amounts of land for such purposes. Australia’s excellent research record in the field
of solar energy along with its abundant resources make it uniquely positioned to become a
major player in the future solar energy industry. One only needs to look to Denmark in
the case of wind energy to see how a strong domestic market can lead to a small country
dominating the world market.
12
1. Introduction
Figure 1.2: Maps indicating the level of solar radiation received on a
horizontal plane in (a) Australia and (b) Europe (in units of kWh/m2 ).4
1.3
Brief Theory of Solar Cell Operation
A typical silicon solar cell is shown in Figure 1.3(a). The standard n + -p silicon solar cell
has a shallow junction formed near the front surface, a front ohmic contact in the form of
fingers and a busbar, and a full metal rear ohmic contact. Light that is absorbed by the solar
cell generates an electron-hole (e-h) pair that is able to contribute to current flow from the
device. For small photon energies, the majority of the current is generated in the base (about
300 µm thick), while photons of energy greater than 2.5 eV generate current from within the
first 1 µm of silicon. This is the reason why the recombination velocity at the front surface
can have such a profound effect on this high-energy photocurrent. For high-efficiency solar
cells the effect of rear surface recombination also becomes an important design parameter.
The area-normalised current density J (mA/cm2 ), of the solar cell is given by
V + JR
V +JRs
s
,
J = JL − J0 exp( nkT /q ) −1 +
Rsh
(1.1)
where J0 is the dark saturation current density (mA/cm2 ), JL is the light generated current
density (mA/cm2 ), q is the electronic charge (1.602 × 10−19 C), V is the operating voltage,
k is Boltzmann’s constant (1.380 × 10−23 J/K), Rs is the series resistance, Rsh is the shunt
resistance, n is the diode ideality factor, and T is the operating temperature (K). The special
case, where the voltage is zero and J = JL is defined to be the short-circuit current density,
Jsc . Figure 1.3(a) shows the equivalent circuit of a solar cell, including series and shunt
resistances.
The Jsc is limited by optical losses such as reflectance from the front surface, shading of the
front surface due to the metal contacts, and transmission of lower energy light out the back
of the cell. An additional source of current loss is due to minority carriers recombining at
the surfaces before they are collected by the junction.
1.3 Brief Theory of Solar Cell Operation
13
J
Pmpp, Vmpp
Rs
V
J0(exp q(V-JRs )/nkT-1)
JL
Rsh
Current Density, Power
–
Jsc
Jmpp, Vmpp
Voltage
+
(a)
Voc
(b)
Figure 1.3: (a) Equivalent circuit of the basic p-n junction solar cell, and
(b) a typical I − V (solid) and P − V (dashed) curve of an illuminated
solar cell.
The operating point where J = 0 mA/cm2 in Equation 1.1 defines the open-circuit voltage
Voc of the solar cell, as shown in Figure 1.3(b). The minimization of both bulk and surface
recombination are important in order to maximise the voltage at maximum power point.
Excellent surface passivation can be achieved by using a thermally grown silicon dioxide
(SiO2 ) layer, as discussed in Section 5.3.1. Bulk recombination will always be higher in
mc-Si wafers compared to high quality c-Si FZ wafers, due to the grain boundaries between
the crystals, although lifetime enhancement measures such as gettering and hydrogenation
may improve the situation somewhat. In practice, the highest Voc ’s of mc-Si and c-Si solar
cells have been limited to 657 mV5 and 710 mV.6
The most efficient operating point of the solar cell is at the maximum-power-point voltage
Vmpp and maximum-power-point current Impp , as shown in Figure 1.3(b). At this point, solar
energy is converted into electrical energy with an efficiency of
η=
Impp Vmpp
,
Pin
(1.2)
where Pin is the incident solar power. Another parameter commonly used is the fill factor
(F F ), which is a measure of the “squareness” of the I − V curve and is defined as
FF =
Impp Vmpp
.
Isc Voc
(1.3)
Recombination in the depletion region will lower a solar cells fill factor, as will a small shunt
resistance or a large series resistance.
The interested reader is referred to the books by Green7, 8 and van Overstraeten and Mertens9
for a more detailed discussion on device physics and operation.
14
1.4
1.4.1
1. Introduction
Commercially Produced Silicon Solar Cells
Screen-Printed Solar Cells
The first reference to a commercial screen-printed (SP) solar cell production line can be
found in 1975.10 Since this time the process has remained relatively unchanged. Figure
1.4(a) shows the structure of a screen-printed (SP) solar cell. As the name implies, the
metal contacts in Figure 1.4(a) are formed by screen-printing a metallic paste through a
mask. However, the contacts are the main limitation of the device, which has an efficiency
of typically 12 − 13%. This is because of the paste’s poor conductivity, its poor contact
resistance to silicon, the poor aspect ratios achieved, and the inability to reliably produce
thin lines in production. The nett result is that the metal lines are much wider than desirable
(150−200 µm). To still allow a significant fraction of the light (92%) to strike the front surface
of the silicon, the fingers are spaced widely (about 3 mm) apart. The electrons are then
required to travel a large lateral distance through the thin emitter region before reaching the
metal contact. For this reason the emitter is heavily doped with n-type (negative) carriers,
affording better lateral conductivity. This, however, gives the cell a very poor response to
high-energy (ultraviolet-blue) light which is absorbed very close to the front surface. An
aluminium (Al) or silver/aluminium (Ag/Al) paste is screen-printed onto the rear of the cell
and fired in a furnace to form a p-type ohmic contact. The aluminium also creates a backsurface-field (BSF), which repels electrons that travel towards the rear of the cell instead
of towards the junction. A titanium dioxide (TiO2 ) antireflection (AR) coating is deposited
near the end of the process, increasing the amount of light absorbed by the silicon. The total
amount of high-temperature (> 750◦ C) processing involved in fabricating a SP solar cell is
typically about 30 − 45 min. Modules fabricated today with SP cells typically cost about
US$3.50 /Wp .
Figure 1.4: Schematic diagrams of a (a) screen-printed solar cell and a
(b) buried-contact solar cell (adapted from Green 8 ).
1.4 Commercially Produced Silicon Solar Cells
1.4.2
15
Buried-Contact Solar Cells
The performance of commercial silicon solar cells was enhanced greatly through the development of the buried-contact (BC) solar cell at the University of New South Wales in the
mid-1980’s.11, 12 The BC solar cell, shown in Figure 1.4(b), is currently commercially produced in large volumes under license by BP Solar. Conversion efficiencies of greater than
20% have been achieved on laboratory scale cells at UNSW,11 and an independently measured production BC solar cell had an efficiency of 16.7%.13 The fingers are only 20 µm
wide but are 50 µm deep, the grooves being made either with a laser or a mechanical dicing
saw. The cell is less shaded due to the reduced metal area (about 3%) on the front surface,
and therefore the fingers can be placed closer together, permitting the use of a lightly-doped
emitter and giving the cell an excellent response to blue light. Figure 1.5 provides a visual
comparison of the metal contact areas of a SP and BC solar cell. It can be easily seen that
the fingers of the BC solar cell are much finer and occupy a much smaller fraction of the
solar cell front surface.
Figure 1.5: Scanned images of (a) a Solarex screen-printed solar cell on
mc-Si, and (b) a BP Solar buried-contact solar cell on textured c-Si. The
AR coatings used are titanium dioxide (TiO2 ) and silicon nitride for the
Solarex and BP Solar cell, respectively (not to scale).
The drawback of the BC process is that although there are substantial materials costs savings
relative to SP cells, the processing costs are higher, over 30% of which can be attributed to
the high-temperature processing steps14 (see Section 1.4.3). In making the BC technology
commercially-viable, BP Solar have removed the lengthy high-temperature oxidation step
and have replaced it with an alternative dielectric, namely silicon nitride.15, 16 The silicon
nitride is deposited using a low-pressure chemical vapour deposition (LPCVD) system.16
LPCVD silicon nitride is typically deposited at a temperature of about 700◦ C,17 and is
16
1. Introduction
capable of acting as a phosphorus diffusion barrier and metallisation mask as well as an AR
coating.16 While the LPCVD silicon nitride film acts in many ways as a drop-in replacement
for the thermally-grown silicon dioxide (SiO2 ) layer, the high deposition temperature means
that the surface passivation benefits normally associated with silicon nitride are not realised
in that process.16, 18
1.4.3
Buried-Contact Solar Cell Fabrication Sequence
Figure 1.6 describes the fabrication sequence for a single sided BC solar cell on a high
quality float zone (FZ) c-Si wafer. Four high-temperature (> 750◦ C) steps of varying length
are involved in fabricating a BC solar cell:
i) a deep, high-quality emitter is formed by performing a light (low dose) n-type (phosphorus, P) diffusion on the wafers. This creates the collecting junction in the p-type
wafers. While this process is relatively short (15 min), the length of the following
processes are in the order of hours each.
ii) a thick SiO2 layer is grown a) as a diffusion barrier to protect the lightly doped emitter
from the heavy groove diffusion, b) to bond with atoms of the disrupted silicon lattice
at the surface, thereby improving the surface passivation, c) to facilitate electroless
metal plating of the front contacts, and d) to act as an AR coating, reducing reflection
losses from the cell’s front surface.
iii) a heavy phosphorus diffusion (close to the solid solubility limit) in the grooves permits
good electrical contact between the silicon and the metal.
iv) an evaporated Al film is sintered for a few hours to form an ohmic contact and create
a BSF at the rear.
1.4.4
Simplified Buried-Contact Solar Cell
The simplified buried-contact (SBC) solar cell was developed in an attempt to reduce the
number of high-temperature processing steps in the standard BC fabrication sequence.19, 20
In the SBC solar cell a single emitter and groove diffusion is performed, as opposed to
the two separate diffusions in the standard BC process. This reduces the number of hightemperature steps by one. More importantly, by performing the homogeneous diffusion
before the deposition of the AR coating, the limitations on the choice of front-surface dielectric layer are considerably relaxed. The dielectric film now only has to act as a metallisation
mask and a good AR coating, and does not need to act as a phosphorus diffusion barrier.
This opens the door for low-temperature deposited films such as plasma-enhanced chemical
1.4 Commercially Produced Silicon Solar Cells
6DZGDPDJHHWFK
1D2+IRUPLQDW±G&
)XOOFOHDQ
5&$5&$5&$+)GLS
/LJKWSKRVSKRUXVHPLWWHUGLIIXVLRQ
ž&IRUPLQ
7&$DQGZHWR[LGDWLRQKUWRWDODW
±G&JURZaQP6L2
)URQWJURRYHVFULELQJ[—P
1D2+JURRYHHWFK
1D2+IRUPLQDW±G&
)XOOFOHDQ5&$5&$5&$
+HDY\SKRVSKRUXVJURRYHGLIIXVLRQ
ž&IRUPLQ
5HDUDOXPLQLXPHYDSRUDWLRQ
±—PWKLFNQHVV
$OXPLQLXPDOOR\ž&IRUKUV
PLQ%+)WRUHPRYH6L2
IURPJURRYHV
1LFNHODQGFRSSHUHOHFWUROHVV
PHWDOSODWLQJ
6L2WKLQQLQJDQGHGJHLVRODWLRQ
Figure 1.6: Fabrication sequence for a BC solar cell on a FZ silicon
wafer.
17
18
1. Introduction
vapour deposited (PECVD) hydrogenated silicon nitride (a-SiN:H) and APCVD-deposited
TiO2 .
Cotter et al. performed PC1D21 modelling and determined that efficiencies greater than
16.5% could be achieved for a single emitter and groove diffusion with a sheet resistance
greater than 40 Ω/2 as long as the front-surface recombination velocity (SRVf ) was kept
below 20000 cm/s.22 This condition is relatively easy to achieve with SiO2 passivation which
typically results in SRVf ≈ 1000 cm/s.23
A necessary modification to the BC process has been the optimisation of the nickel sintering
step. The electrolessly-plated nickel (Ni) film is normally sintered at 350◦ C in order to form
a nickel silicide (Ni2 Si) ohmic contact. Additionally, the (Ni2 Si) layer may act as a diffusion
barrier to the subsequently deposited copper layer at normal module operating temperatures.
It was found that performing a 350◦ C Ni sintering step with a 45 Ω/2 groove diffusion
resulted in low fill factors (< 75%) and a open-circuit voltage (Voc ) that is degraded by up
to 40 mV.24 It has been postulated that the degradation is caused by small-area Schottky
contacts between the metal and the p-type base.24 This is attributable to either the nickel
punching-through the n-doped grooves during sintering or the lack of a diffused region in
some areas of the grooves. By reducing the Ni sintering temperature to 250◦ C this problem
has been circumvented.24 This has resulted in the fabrication of 9 cm2 solar cells with a
conversion efficiency of 16.9 − 17.1%, with a sheet resistances of 39 − 50 Ω/2 on 1 Ω cm
boron-doped untextured CZ wafers.24 The use of a PECVD a-SiN:H film as an AR coating
enabled a respectable short-circuit current density (Jsc ) of up to 34.8 mA/cm2 to be achieved.
These cells did not require any SiO2 passivation layer.25 The disadvantage of the current SBC
process is that it is not nearly as robust as the standard BC fabrication sequence, and requires
further refining before it is able to withstand the rigours of a production environment.
1.5
Multicrystalline Silicon
The cost of the silicon wafers alone represent the largest fraction in the cost breakdown of a
solar cell. The cost of the growing the silicon ingot and cutting it into wafers contributes 46%
towards the final PV module cost.26 This is not because silicon is a rare material, it is in fact
the most abundant element on earth, however the purification and ingot growth processes
are extremely energy intensive. Additionally, the PV industry is somewhat reliant on silicon
scrap and off-cuts from the semiconductor industry for their feedstock. The refining processes
to obtain the necessary purity for good electrical performance and cutting the ingots into
350 µm thick wafers costs about US$1.50 /Wp . The price is not strongly linked to economies
of scale, as the PV industry in the past has been able to rely on the much larger semiconductor
industry for technological advances in crystal growth. Additionally. as the semiconductor
industry has moved towards larger and larger wafer sizes - 300 mm is the current standard -
1.5 Multicrystalline Silicon
19
the PV industry has been able to purchase the outdated equipment relatively cheaply.
Only recently, with the strong growth of the PV industry, has dedicated equipment for PV
technologies been developed in order to reduce the cost of silicon wafers. Firstly, there is an
industry trend towards fabricating solar cells on thinner wafers. There are several handling
issues in production to be overcome before high yields can be obtained on 150 µm-thick
wafers. However, the French PV manufacturer, Photowatt, has demonstrated a high yield
with 200 µm thick wafers on an automated production line.27 Secondly, different methods of
casting silicon into multicrystalline silicon ingots have been developed.
1.5.1
Issues with Multicrystalline Silicon
Multicrystalline silicon (mc-Si) ingots are now used by many of the world’s leading solar
cell manufacturers, including BP Solar (incorporating Solarex), Kyocera, Eurosolare, and
Photowatt, to name a few. Mc-Si ingots of up to 65 × 65 cm and weighing 230 kg are now
being grown.27 There are several advantages to such an ingot compared to the traditional
100−150 mm round Czochralski (CZ) wafers. Firstly, the cost of mc-Si wafers is on the order
of 15% less than c-Si wafers grown by the CZ process. Secondly, the overall geometrical yield
from a 150 mm diameter c-Si ingot is only about 66%.27 This is because the ingot must be
trimmed into pseudo-square wafers to permit a higher packing density of the solar cells once
they are laminated into modules. If this is not performed then module costs will increase
due to the extra amounts of glass required to fabricate a module with the same power rating.
In comparison, the wafer yield from a 65 × 65 cm mc-Si ingot is about 84%.
There are several disadvantages to using mc-Si wafers. Firstly, electrical properties of the
material quality are slightly poorer. This is due to increased numbers of minority carriers
recombining at the grain boundaries between the crystallites, before they can be collected
by the junction. Secondly, due to the random orientation of the crystallites in mc-Si, the
benefits achieved from the standard alkaline chemical texturing are minimal and a good
AR coating is necessary to prevent large reflection losses. Thirdly, all mc-Si material is
different, varying from manufacturer to manufacturer and from batch to batch. The material
behaves differently under high processing temperatures (> 950◦ C) and while the particular
parameters used for lifetime enhancement processes, like hydrogenation and gettering, may
work well for one material they may actually degrade the quality of another material. This
indicates that a robust technology is required that can tolerate these kinds of variations in
a production environment.
For screen-printed solar cells these disadvantages represent only a small loss in performance.
The mc-Si wafers are still chemically textured, even though the benefits are minimal. Screenprinted solar cells fabricated on CZ c-Si substrates maintain a slight performance advantage
over mc-Si wafers, by about 0.5 − 1.0 % absolute efficiency. The device efficiency is limited,
20
1. Introduction
not by the substrate, but by the screen-print process itself, and therefore can be more costeffective on mc-Si wafers. This fact, along with the reduced cost of mc-Si wafers has seen
mc-Si screen-printed solar cells capture a major share of the PV market. Additionally, large
amounts of money are being invested in new facilities that will fabricate tens of megawatts
more of this product. Although investment in renewable energy is applauded, an opportunity
is being passed up by many companies to invest in more efficient technologies that make
better use of the silicon substrate. The upper efficiency limit for a mc-Si solar cell has been
demonstrated at UNSW. A laboratory scale (1×1 cm) passivated-emitter rear-locally-diffused
(PERL) structure fabricated on Eurosil P48 material (Eurosolare S.p.A., Italy) resulted in a
conversion efficiency of 19.8%.28 This result indicates that a significant improvement margin
exists for the 12 − 13% efficient commercially-produced solar cells if the right technology can
be found. This work investigated the applicability of the BC process to mc-Si wafers, using
low-temperature deposited titanium dioxide thin films as the replacement dielectric coating.
1.6
Why use Titanium Dioxide?
There are several motivations for investigating titanium dioxide (TiO2 ) thin films in this
work. TiO2 thin films are used currently as the PV industry standard AR coating on the
vast majority of screen-printed solar cells. The important implications of this are, firstly, that
the industry is familiar with the technology and will not be reluctant to adapt to fabrication
processes based around TiO2 and, secondly, that the necessary deposition equipment is
operating today on the factory floor. Thus, the development of a new silicon solar cell
technology that included TiO2 processing steps could be readily adopted by the PV industry
without the typical long lead-in time for a new technology.
TiO2 exists in nature as the minerals rutile, anatase, and brookite. Titanium dioxide of the
rutile form is a relatively abundant mineral,29 however anatase and brookite are extremely
rare in nature.30 TiO2 thin films are generally amorphous for deposition temperatures ≤
350◦ C, above which anatase is formed. The most stable crystalline phase, rutile, is formed at
temperatures greater than about 800◦ C. The brookite phase is rarely observed in deposited
thin films. The functional properties of TiO2 films, powders and ceramics are strongly
dependent on the phase of the material. For many applications, the size of crystals that are
present also alter the behaviour of the film. Typical properties of TiO2 include:
• Electrical: high electrical resistance - resistivities of 1014 Ω cm29 and dielectric constants
of up to 180 are possible for rutile crystals.31
• Mechanical: high durability32 and high hardness.33
• Optical: very high refractive index - up to 2.70 − 2.71 (at a wavelength of 600nm) for
rutile thin films33, 34 - and excellent transmittance in the visible region.
1.6 Why use Titanium Dioxide?
21
• Chemical: good chemical resistance and high chemical stability.35, 36
TiO2 powders and thin films are used in an extremely wide range of commercial applications
and research areas, including:
• Powders: as a white pigment in paint, plastic, inks, paper, and cosmetics; in washing
powder, toothpaste, sunscreen, foodstuffs, pharmaceuticals, photographic plates, for
creating synthetic gemstones; and as a catalyst.
• Thin films: for ultra-thin capacitors and MOSFETs due to its extremely high dielectric constant; as humidity and oxygen sensor due to the dependence of its electrical
conductance on the gases present; as an optical coating and a material for waveguides
due to its high refractive index; as a protective coating and corrosion resistant barrier;
and as a photoanode in solar cells due its photoelectric activity.
1.6.1
TiO2 Thin Films in Photovoltaics
The use of TiO2 films has already been explored to a certain degree in the field of PV.
Antireflection Coating
Lord Rayleigh first observed the antireflection (AR) effect in 1887, and Bauer presented the
first theoretical treatment based on interference effects in 1934.37 Since this time the theory
and application of optical coatings have been well developed. The use of an AR coating for
solar cells is a more recent application, beginning in the 1960’s with the advent of PV as a
remote power source in space. For a good theoretical treatment of AR coatings, mainly on
glass substrates, the reader is referred to Heavens,38 and some theory is also presented in
Chapter 7.
Many references to TiO2 thin films being experimented with as a solar cell AR coating
appeared in the early 1970’s.39–44 These early experiments were aimed at increasing the
efficiency of space cells, which commonly employed silicon monoxide (SiO) AR coatings. At
a wavelength of 600 nm, the refractive index of silicon is 3.94, glass about 1.52, SiO about
1.9, and TiOx in the range 1.9−2.4 (it is difficult to know the exact stoichiometry of titanium
dioxide thin films that are deposited via evaporation or sputtering, and therefore these films
are denoted as TiOx ). As discussed in Section 7.2.1, an AR coating with a refractive index of
about 2.45 is optimal for achieving minimal reflection losses for a glass-encapsulated silicon
solar cell. Thus, the use of TiOx films became widespread in the PV industry for providing
better optical coupling of light into the silicon. Although TiOx films are more absorbing to
short wavelength light, this is of little importance for SP solar cells, which already exhibit
a poor blue response due to the phosphorus ”dead-layer” at the front surface.8 It should be
22
1. Introduction
noted that the ethyl-vinyl-acetate (EVA) films used to encapsulate that silicon solar cells
and the glass cover plates also exhibit significant absorption of short-wavelength light.45
Since the early 1970s, TiOx has been the main AR coating employed by the PV industry.
Nearly all SP solar cell production lines use an APCVD- or spray-deposited TiO2 AR coating.16, 19 Kern and Tracy provide an extensive review of early AR coatings for silicon solar
cells, focussing on TiO2 .46 Several improvements have been made to the process, including optimisation for the firing-through of screen-printed contacts47, 48 and for deposition on
textured surfaces,49 and since 1994 TiO2 has been investigated for application in BC solar
cells.16, 19, 20, 22, 24, 35, 50 TiO2 thin films are also employed as AR coatings on glass, transmitting
visible light while reflecting heat-producing IR radiation.51
Surface Passivation
Surface passivation is an extremely important design consideration for high-efficiency silicon
solar cells, especially at the front surface where the majority of the light is collected. The
predominant recombination losses in c-Si are via defect levels within the bandgap and the
large number of non-saturated Si bonds at the surfaces dominate those defect levels. In order
to reduce these recombination losses and achieve high conversion efficiency the surfaces must
be electronically passivated, and, in the case of solar cells, the passivation scheme should be
stable under ultraviolet (UV) illumination for at least 20 years.52 Two common and wellcharacterized methods for silicon surface passivation are thermal oxidation at temperatures
of about 1000◦ C to grow SiO2 and plasma-enhanced chemical vapour deposition (PECVD)
of silicon nitride. Methods of achieving surface passivation with TiO2 thin films will be
discussed in detail in Chapter 5.
Metallisation Mask
TiO2 (anatase) films have been used as a dielectric mask for preventing electroless nickel and
copper plating from occurring on the front surface of solar cells. TiO2 thin films of about
70 nm in thickness have successfully replaced the 350 nm thick SiO2 employed in the BC solar
cell fabrication sequence for use as a metallisation mask.20 The necessary film properties for
this application include chemical resistance and a dense, continuous film with no pinholes.
TiO2 thin films deposited by spray-deposition at temperatures above 400◦ C have satisfied
these criteria.20 Research performed at the University of Konstanz (Germany) has shown
that the use of PECVD deposited a-SiN:H thin films as a metallisation mask is problematic.53
As mentioned previously, BP Solar employ an LPCVD silicon nitride coating on their BC
solar cell production line. Films deposited by LPCVD are typically very dense and are well
suited to acting as a metallisation mask. The primary difference between silicon nitride
films deposited by PECVD and LPCVD is that the former can contain as much as 30 at. %
1.6 Why use Titanium Dioxide?
23
hydrogen. This, along with the significantly lower deposition temperature of PECVD films,
results in the PECVD film having a significantly lower density.17
Ohmic Contacts
Thin films of TiO2 have been used to create ohmic contacts to p-type mc-Si solar cells.54
The TiO2 layers were deposited in between layers of aluminium screen-print paste and ptype silicon. The screen-print paste was then fired (850◦ C for 5 − 30 min), which allowed the
aluminium to interdiffuse with the silicon, creating a titanium silicide (Tix Siy ) film in the
process. With the above firing conditions, the Tix Siy films yielded a low contact resistivity
of 1 − 13 × 10−5 Ω cm2 .
MIS Solar Cells
Metal-insulator-semiconductor (MIS) solar cells rely on quantum mechanical tunnelling
through a very thin oxide layer, less than 2 nm thick, for carrier transport.7 This is made
possible by the extreme work functions of the metal. The top contact can be a thin metallic
layer (< 10 nm), which is essentially transparent to light. As this layer will have a high
resistivity a thicker contact grid is required to transport the current. Alternatively, very
fine (5 − 10 µm) and closely spaced (50 − 100 µm) metallic fingers can be used. In this case,
carriers that are generated between the fingers can be collected by a nearby grating line
before recombining.
The latter MIS grid structure was investigated using a 2 nm thick SiO2 layer, followed by a
100 nm thick TiOx layer.55 The TiOx was intended to act as an inversion layer, inducing a
layer of minority carrier near the front surface. Although this apparently improved efficiencies, it was later found that the TiOx was acting as an accumulation layer on p-type silicon.56
This resulted in further research being performed with tantalum pentoxide, although TiOx
would be suitable for n-type solar cells.
Transparent Conducting Oxide Layers
In the PV industry, transparent conducting oxide (TCO) layers are most commonly used
in thin-film solar cells where low current densities are present. The most common TCO is
indium-tin-oxide (ITO). However, more recently TCO layers have been employed for silicon
wafer based heterojunction solar cells from Sanyo.57 These cells have a p- and n-type amorphous silicon films deposited onto the front and rear of the n-type silicon substrate. A TCO
layer is employed to reduce the front contact area required. There is always an electrical
vs. optical trade-off with TCO layers, and even though a relatively high short circuit current density is achieved (Jsc = 36.7 mA/cm2 ) the spectral response curve exhibits significant
24
1. Introduction
absorption at wavelengths less than 700 nm.57
The TCO layers typically have a sheet resistance of about 10 − 50 Ω/2. Niobium-doped
TiO2 films with this sheet resistance and a refractive index of 2.2 − 2.5 have been deposited
as TCO layers.58 However, a film thickness of greater than 1.5 µm was required to achieve
the 50 Ω/2 sheet resistance. With thinner films a logarithmic dependence of the resistivity
on the film thickness was observed. Therefore, these films are too thick to act as an effective
AR coating. As discussed in Sections 2.4.2 and 2.4.4, oxygen deficient TiO2−x thin films can
have resistivities down to 102 − 10−3 Ω/2, indicating their potential as a TCO.
TiO2 /c-Si Heterojunction Solar Cells
Investigations have been performed on the addition of indium (In) to TiO2 to form p-TiO2 /nSi heterojunction solar cells.59 The TiO2 films were deposited by a ”spray-CVD” process.60
The In-doped films lead to an efficiency increase of about 40% over pure TiO2 films, resulting
in an efficiency of 14.1% under 100 mW/cm2 (AM1) illumination. The open circuit voltage
approached 650 mV and a high fill factor of 0.82 was achieved.
Gordon deposited doped TiO2 film as an electrode underneath a fluorine-doped tin oxide
(F:SnO2 ) layer to form a F:SnO2 /TiO2 /p-Si heterojunction solar cell.61 The patent deals
mainly with niobium-doped TiO2 , however other possible dopants that are also discussed
include tantalum, tungsten, phosphorus, arsenic, antimony and vanadium. The function
of the TiO2 layer is to, firstly, overcome the “interfacial resistance” observed in SnO2 /p-Si
heterojunction cells, and, secondly, to act as an intermediate AR coating between the SnO2
and Si.61 The SnO2 has a refractive index of about 1.85 at 600 nm.62 It is noted that the
TiO2 does not exhibit sufficiently low resistance to act as a TCO. In both solar cells described
here the TiO2 layer was about 100 nm thick.
The dye-sensitized solar cells described below are also heterojunction solar cells, however as
these involve a liquid p-type electrolyte they will discussed in a separate section.
Dye-sensitized TiO2 Solar Cells
In 1991 a very different solar cell concept was presented based on dye-sensitized nanocrystalline TiO2 thin films and an iodine/iodide electrolyte.63 The sample structure is shown
in Figure 1.7. The TiO2 is n-type while the dye is p-type. The cell works by conversion of
photons to electrons by the dye and the subsequent transfer of electrons to the glass electrode
by the TiO2 layer. The device had an efficiency of 7.1% under full sunlight, which increased
to 12% under diffuse lighting. This solar cell has a large potential market due to drastically reduced fabrication costs and conversion efficiencies that are comparable to amorphous
silicon solar cells.64 The dye, in this case ruthenium based, is used to photosensitize the
1.7 Thesis Overview and Goal
25
TiO2 film. A highly porous TiO2 layer with a large surface area to volume ratio is used to
increase the amount of adsorbed dye. This increases the absorption properties of the device
in the visible spectral region. Spray-deposition techniques have been used for depositing
the nanocrystalline TiO2 films65 and the highly porous, CVD-deposited films presented in
Chapter 7 could potentially be used for this type of solar cell.
Figure 1.7: Sample structure of a photoelectrochemical cell using
nanocrystalline TiO2 . The arrows indicate the direction of light (from
Li et al.64 ).
1.7
Thesis Overview and Goal
The aim of many silicon-wafer based PV research groups worldwide is to develop a new,
commercially-viable, fabrication technology suitable for mc-Si wafers, in order to bridge the
gap between the “five-year plan” for thin film dominance of the PV marketplace and the
stock-standard product of the last 20 years, screen-printed solar cells.
The objective of this thesis project is to develop, understand and evaluate novel applications of TiO2 thin films to silicon solar cells. TiO2 is identified as an unique material with
significant potential owing to its excellent optical and electrical properties. TiO2 thin films
also appeared to be an attractive option due to the possibility of depositing them at a lowcost. While amorphous TiO2 thin films have a long history of being used as an AR coating
on screen-printed solar cells, very few examples of the application of polycrystalline TiO2
(especially anatase) thin films to photovoltaics can be found in the literature.
Anatase TiO2 thin films exhibit a high refractive index and low absorption coefficient.
This, along with their insulating properties and excellent chemical resistance, suggested
26
1. Introduction
that anatase thin films could be used as a direct replacement for the thermally-grown SiO2
layer in the standard BC technology. The replacement of the SiO2 layer with TiO2 promised
much lower thermal budgets, simplified fabrication sequences and reduced processing costs.
The lack of literature regarding the behaviour and stability of TiO2 thin films under hightemperatures and different gas ambients meant that a significant amount of time was spent
increasing this knowledge base.
In order for TiO2 to successfully replace SiO2 in the standard BC process, several key parameters have to be explored. Firstly, it needs to be demonstrated that TiO2 thin films do
not reduce the minority carrier lifetime of silicon wafers when processed at temperatures up
to 1000◦ C. Secondly, TiO2 is known to be a poor option for passivating the surfaces of a
silicon wafer, so therefore a successful method for enhancing the surface passivation of TiO2
coated silicon wafers needs to be developed in order to achieve high efficiencies. Fourthly,
one crucial role of the SiO2 layer in the BC process is to act as a phosphorus diffusion barrier.
The performance of a TiO2 thin film in this role needs to be evaluated. Fifthly, an additional
reduction of thermal budget is envisaged by combining the emitter diffusion and AR coating
steps. In this manner, a TiO2 film doped with phosphorus atoms would be deposited onto
the p-type wafer and, during a subsequent firing process, the phosphorus atoms diffuse out
of the TiO2 and form an n-type emitter. Finally, as well as acting as a chemically resistant
layer and an electroless metal plating mask, the optical performance of TiO2 AR coatings
need to be optimised.
The primary goal is to develop a 16− 17% efficient BC solar cell on planar mc-Si wafers. The
application of the BC technology to textured c-Si wafers has been demonstrated by industry,
however the current BC technology cannot be economically applied to mc-Si wafers due to
the high-processing costs. Therefore, a simplified process, centred around using TiO2 as the
thin dielectric film is sought. If TiO2 films prove to be successful then one expected outcome
would be the evolution of a new solar cell technology that is readily applicable to today’s
PV industry.
Following this introduction, Chapter 2 presents an extensive literature review, necessary
to understand the physical, optical, electrical and chemical properties of TiO2 . A review
of thin film deposition techniques and a description of two deposition systems designed
and constructed by the author are described in detail in Chapter 3. Due to the novel
ways that TiO2 thin films were being implemented into solar cell processing sequences,
extensive film characterisation was necessary to determine the variation of film properties
with process conditions (Chapter 4). A novel method of overcoming the limited surface
passivation achievable with TiO2 coated silicon surfaces is discussed in Chapter 5. Issues
such as film stability at high temperatures and contamination are also addressed in this
chapter. Novel PV applications of TiO2 , such as its ability to act as a phosphorus diffusion
barrier and phosphorus dopant source, are investigated in Chapter 6. A high-performance,
commercially viable, double-layer antireflection (DLAR) coating, based on two or more TiO2
1.7 Thesis Overview and Goal
27
films with differing refractive indices, is demonstrated in Chapter 7. Modelling results of the
performance of this DLAR coating on a planar BC solar cell will also be presented. Finally,
Chapter 8 summarises the work, and presents opportunities for further research in the area.
28
1. Introduction
Chapter 2
Common Properties of TiO2 Thin
Films
The physical, optical, electrical and chemical properties of titanium dioxide (TiO2 ) depend
greatly on the amorphous or crystalline phase of the material. TiO2 is a complex material
with three crystalline phases, two of which are commonly observed in thin films - anatase
and rutile. Anatase is commonly observed at film deposition temperatures of 350 − 700◦ C,
while higher temperatures promote the growth of rutile. Deposition temperatures lower than
300◦ C generally result in the formation of amorphous TiO2 .
Amorphous TiO2 is a highest bandgap material (about 3.5 eV), and exhibits a low refractive
index (about 1.9 − 2.0 for 600 nm wavelength light) and extinction coefficient. The chemical
resistance of amorphous TiO2 films is poor in many acidic and basic solutions. Polycrystalline anatase thin films, with an optical bandgap of about 3.2 eV, exhibit a much higher refractive index (as high as 2.532 at 600 nm for single crystal material) and a slightly increased
absorption coefficient. With the crystalline structure comes increased chemical resistance,
and dense anatase films are insoluble in many acids and bases. Rutile thin films (3.05 eV
bandgap) have extremely high refractive indices (up to 2.70 at 600 nm for single crystal rutile)
and below the bandgap absorption is still low. The chemical resistance of rutile is excellent,
and after annealing at temperatures above 1000◦ C it is insoluble in nearly all acids and bases.
2.1
Introduction
The aim of this work was to evaluate the performance of titanium dioxide (TiO2 ) as a drop-in
replacement for the thick, thermally grown silicon dioxide (SiO2 ) layer in the buried-contact
solar cell. The use of TiO2 immediately obviates one of the high-temperature processing
steps, required to grow the SiO2 layer. TiO2 was chosen due to the ability of depositing films
at low temperatures and at atmospheric pressure; the non-toxicity of the liquid precursor;
29
30
2. Common Properties of TiO2 Thin Films
the familiarity of solar cell manufacturers with this film; and, their existing ownership of
the necessary deposition equipment. It was anticipated that these factors would facilitate
an easy transfer of a successful laboratory device into a commercial environment. However,
TiO2 is a relatively complex material, and three crystalline phases as well as the amorphous
form of TiO2 exist. Since each of the these materials has different optical, electrical, and
chemical properties it was necessary to perform an extensive literature review in order to
predict how the TiO2 films would behave in different processing conditions.
This chapter will describe the properties of the crystalline phases most commonly observed
in thin films, that of rutile and anatase, and amorphous TiO2 . The third crystalline phase,
brookite, is a less stable and common form of TiO2 is rarely observed in deposited thin films
and will not be discussed here. There are many different parameters that affect the phase
of a deposited TiO2 thin film. Some of these parameters are deposition method, deposition
temperature, annealing temperature, deposition rate, deposition pressure, precursor type,
reaction atmosphere, impurities present, and substrate type. The resulting phase or mixture
of phases, plays a large role in determining the physical, optical, chemical, and electrical
properties of the film.
This work relies greatly on the excellent optical properties of TiO2 thin films, as well as its
chemical resistance and insulating properties. A summary of the physical, optical, electrical
and chemical properties reported in the literature, with an emphasis on those relevant to
solar cell fabrication, is presented in the following sections of this chapter.
2.2
2.2.1
Physical Properties
The Amorphous − Anatase − Rutile Phase
Transformations
Amorphous TiO2 thin films can be deposited at temperatures as low as 100 − 150◦ C.66, 67
Amorphous TiO2 does not have a strict crystallographic structure, often incorporates voids
within the material, and has a relatively low density. For TiO2 thin films formed by chemical
reaction, the lowest temperature crystalline phase of TiO2 that can be obtained is anatase.
To obtain polycrystalline anatase, the film can be either deposited as amorphous TiO2 and
then crystallised by annealing at a higher temperature, or deposited as polycrystalline material directly. Nearly all published results indicate that the transition from an amorphous
to anatase film occurs at about 300 − 365◦ C, regardless of whether this is the deposition or
annealing temperature. Rutile films are initially observed on silicon substrates at deposition
temperatures above 700◦ C, and more typically from 900 − 1100◦ C. It should be noted that
anatase is a metastable phase of TiO2 , and the conversion to rutile involves a collapse of the
anatase structure, which is irreversible.68, 69 Figure 2.1 indicates the structure of an anatase
2.2 Physical Properties
31
and rutile crystal. Although rutile and anatase are both of tetragonal crystallographic structure, rutile is more densely packed and thus possesses a greater density.
Figure 2.1: Models showing the tetragonal structure of both anatase and
rutile, and the denser structure of the rutile phase of the latter (adapted
from Du Pont, Inc.70 ).
The TiO2 thin films deposited in this work are formed by chemical reaction, using chemical
vapour deposition (CVD), and spray pyrolysis and hydrolysis systems. In this scenario, the
substrate temperature is the primary means of controlling the deposited phase of the material. In contrast, physical vapour deposition (PVD) systems, such as evaporation, sputtering,
and ion-beam deposition, the resulting phase and film structure is determined primarily by
the kinetic energy of the impinging atoms. Therefore, the progression through the amorphous, anatase, and rutile phases may not necessarily be expected. This is confirmed by the
occurrence of rutile films at low deposition temperatures (< 450◦ C) by carefully optimised
deposition methods,34, 71 ion-assisted deposition,72 and reactive evaporation.73 However, the
bulk of the discussion here pertains to TiO2 films formed by a chemical reaction, and where
the substrate temperature dominates film growth characteristics.
Several researchers73–75 observed that the processing temperatures required to convert an
anatase film into a rutile one are much higher than temperature required to deposit a rutile
film directly. Agreement with this observation can be found in the literature, where the
rutile phase is only present at low temperatures when it is deposited directly at that temperature.34, 71, 73, 74, 76–78 The formation of rutile at lower temperatures is facilitated by the
kinetic energy possessed by the TiO2 molecules during the deposition process, enabling the
lowest energy state to be reached on the substrate. In contrast to the above observation,
Fitzgibbons had previously claimed that the variation in physical and chemical properties
of the films is determined solely by the maximum processing temperature, whether this be
the deposition temperature or a subsequent annealing temperature.67 Amores et al. have
published an excellent diagram indicating how the high-temperature sintering process and
transformation of anatase to rutile crystals proceeds, shown here in Figure 2.2.79 The pro-
32
2. Common Properties of TiO2 Thin Films
posed mechanism for the sintering and transformation of anatase into rutile involves several
steps. Initially, the smallest particles (a) coalesce, forming bigger particles (b). The fraction of particles that are already large have been shown not to undergo sintering. The heat
evolved from the exothermic sintering process causes the local nucleation of the rutile phase
(c). Finally, as the conversion to rutile is also an exothermic process, this results in the
transformation of the whole particle to rutile (d).
Figure 2.2: Proposed mechanism for the sintering and transformation of
anatase into rutile. The smallest particles (a) coalesce, forming bigger
particles (b). The fraction of particles that are already large have been
shown not to undergo sintering. Heat evolved from the exothermic sintering process causes the local nucleation of rutile (c). The conversion to
rutile is also an exothermic process, leading to the transformation of the
whole particle to rutile (d) (adapted from Amores et al.79 ).
2.2.2
The Effect of Impurities on the Anatase − Rutile Phase
Transformation
Many researchers have observed that the inclusion of a certain amount of impurities into
TiO2 can drastically alter the physical properties of the film. It has been shown that silicon
and phosphorus inhibit the transformation from anatase to rutile, with 100% anatase phase
being retained at temperatures as high as 870◦ C for up to 3 hr for thin films80 and 1500 K for
bulk samples.81 The retardation of the anatase-rutile transformation can be achieved with
2−
3+ 80
the following impurities: PO3−
AlPO4 ;68 SiO2 ;68, 81 Co3 O4 and MoO3 ;79
4 , SO4 and Al ;
Ce and Nb;82 K+ ;83 WO3 ;84, 85 Na2 O;85 and P2 O5 .81, 86 Conversely, it is well known that
other impurities enhance the formation of rutile at lower temperatures. These impurities
include CuO2 ;79, 85 V2 O5 ;79, 87 and NiO, CoO, MnO2 , Fe2 O3 .85
Most researchers agree that oxygen vacancies are responsible for the overall transformation
mechanism.68, 69, 88 Thus, the oxides and fluorides (such as Li+1 , Co+2 and Mn+4 ) that assist
2.2 Physical Properties
33
the transformation can substitute for Ti+4 in the anatase lattice, resulting in the creation of
−2
oxygen vacancies. On the other hand, the inhibiting effect of other impurities (PO−3
4 , SO4 ,
Nb2 O5 ) has been explained by the reduction of oxygen vacancies due to the substitution of
Nb+5 and S+6 into the anatase lattice. Oxygen vacancies are also known to be created in
hydrogen ambients, thereby favouring the transformation to rutile.69, 85, 88
It should be noted that during the growth of TiO2 thin films, contamination from the various
chemical precursors can result. Titanium alkoxides are common TiO2 precursors, with the
most frequently used being titanium isopropoxide (also called tetraisopropyl titanate, TPT).
The residue of the organic binders results in carbon contamination of typically a few at.%,
but as high as 13 at.%, being observed.64, 89–99 It is likely that carbon incorporation could be
higher at low growth temperatures, as when higher temperatures were used the carbonate
species decomposed, resulting in the removal of hydrocarbon fragments.64, 94, 99, 100 Titanium
tetrachloride (TiCl4 ) is another common TiO2 precursor, and this results in chlorine contamination of the deposited film.91, 93, 101
2.2.3
Substrate Type
The effect of substrate type upon the deposited TiO2 film will be discussed only briefly, as
nearly all experiments performed in this work employ silicon substrates. Several researchers
have studied the properties of TiO2 films deposited onto various substrate types, including
silicon, silica, quartz, alumina, titanium, copper, gallium arsenide, stainless steel, as well
as several types of glass. The different substrate types influence the physical properties of
the deposited film, including the phase, texture or surface roughness. Optical and chemical
properties also change, however these are primarily dependent on the phase and density of
the polycrystalline TiO2 film. Possible reasons for the dependence of the TiO2 phase and
properties on the substrate include the substrate’s surface conditions affecting the orientation
and packing density of the molecules, and, with glass, the diffusion of metal ions into the
film.102
Battiston et al. observed that anatase was the only phase present with films deposited
onto titanium and stainless steel substrates and annealed at 750◦ C.103 In the same work, an
anatase-rutile mixed-phase was observed on barium fluosilicate glass substrates at 1100◦ C
and alumina substrates at 900◦ C. At 1100◦ C a single rutile phase was detected on the alumina. This is in agreement with other results, where either single-phase rutile or epitaxiallygrown rutile films have been achieved upon alumina substrates.94, 104–107
Film depositions on glass substrates are typically limited to anatase, or anatase-rutile mixed
phases due to the low melting point (typically ≤ 650◦ C) of most glasses. However, results
of depositions on quartz indicate a preference for the formation of anatase, even at temperatures greater than 1000◦ C.67, 108, 109 This is also true for glasses containing sodium, where
34
2. Common Properties of TiO2 Thin Films
it is possible for the Na+ ion to out-diffuse from the substrate and retard the formation
of rutile.85, 102, 107, 110 In contrast, aluminosilicate glasses such as Corning 7059 are known
to favour the formation of the rutile phase due to Al2 O3 impurity.107, 110 Out-diffusion of
substrate elements has also been observed by Yuan and Tsujikawa, where up to 30% copper
concentration was found in a TiO2 film deposited onto copper sheet and fired at 800◦ C.111
2.2.4
Film Defects
It important that the deposited films are relatively uniform in thickness, and do not exhibit
pinholes. Several works have reported pinholes or similar defects in TiO2 films. Using
scanning electron microscopy (SEM), Fitzgibbons observed an occasional pinhole at 20000×
magnification in films deposited by CVD at 150◦ C, however no pinholes were observed after
annealing (300 − 1000◦ C).67 Additionally, it was found that tensile stress caused the films to
crack when the film thickness reached 400 − 500 nm. Nishide and Mizukami experimented
with spin-coating of TiO2 films and found that some films exhibited 1 µm diameter pores
when fired at temperatures below 500◦ C, however these defects disappeared when the firing
temperature was increased to 550◦ C.112 This is in accordance with other results indicating
that TiO2 films deposited at lower temperatures are generally more heavily defected.113
The existence of craters was noticed by Szlufcik et al. when screen-printing a titanium
organo-metallic based ink, however the problem was alleviated with the addition on butanol
to the ink.114 Kern and Tracy commented that the existence of micro-pinholes and TiO2
particulates observed in pneumatically sprayed TiO2 antireflection (AR) coating did not impair solar cell performance.46 More recently, Golego et al. observed that some spray droplets
can react on their way to the substrate, form a particulate and then become incorporated
into the film.115 Spray depositions performed at very low temperatures (90◦ C) resulted in
the liquid layer cracking upon drying. Again, as the deposition temperature was increased
slightly (to 120◦ C) these defects disappeared. Kurtz and Gordon noted that by maintaining
a large temperature differential between the substrate and the deposition equipment, avoids
TiO2 particulates from forming on the substrate.116
2.2.5
Film Density
While both rutile and anatase possess a tetragonal crystallographic structure, rutile is
more densely packed and thus possesses a greater density (4.26 g/cm3 ) than anatase (ρ =
3.84 g/cm3 ).70 For TiO2 thin films the highest observed density published to date is the
range 4.09 − 4.10 g/cm3 for a rutile film.33, 117 Amorphous TiO2 films exhibit a wide range
of densities, from 2.4 g/cm3 for porous films118 to more typical values of 3.2 − 3.65 g/cm3 ,119
while films deposited with a high kinetic energy have achieved densities in the range
3.6 − 3.8 g/cm3 .33 It has been noted that the TiO2 films with a lower density can favour
2.2 Physical Properties
35
impurity diffusion.120
It is widely accepted that there is a linear relationship between density and refractive index
of a TiO2 thin film.33, 67, 121–125 The linear variation of the refractive index (measured at
550 nm) with density is shown in Figure 2.3 for films deposited by five different techniques.33
The equation of the line in Figure 2.3 is
nf = 0.42751 ρ + 0.91933 .
(2.1)
This can be more usefully expressed for this work as
ρ=
nf − 0.91933
,
0.42751
(2.2)
where ρ and nf are the TiO2 film density (in g/cm3 ) and refractive index, respectively.
Figure 2.3: Experimental data from several researchers indicating that
a linear correlation between TiO2 film density and refractive index is
observed over for a wide range of values. Previously published data from
Ottermann and Bange,121 Fitzgibbons et al.,67 Bendavid et al.,33 Hass 34
and Ribarsky 126 was used. (adapted from Bendavid et al.33 ).
Additionally, the porosity of the film can be determined using the following relation127
Porosity = 1 −
n2f − 1
,
n2b − 1
(2.3)
where nb is the refractive index of the bulk single crystal material. It should be emphasised
that this value is an approximation due to the fact that, firstly, both anatase and rutile
crystals exhibit strong birefringence and, secondly, that mixed anatase/rutile phases can
exist. The values of mean refractive indices used in this thesis are 2.70 for rutile126 and
36
2. Common Properties of TiO2 Thin Films
2.532 for anatase,34 both measured at λ = 600 nm. Several researchers have noted that TiO2
films (anatase) derived from sol-gels tend to be highly porous in nature, sometimes up to
49%.128, 129
When TiO2 films are annealed at a temperature higher than their deposition temperature,
particle sintering and crystallisation contribute to an increase in film density and refractive
index, and, accordingly, a reduction in film thickness (see Wong et al. for example130 ).
Generally, the type of film structure can be estimated before the deposition takes place,
based on the Movchan-Demchishin structure zone model (SZM).72 Guenther expanded the
SZM (see Figure 2.4) to include high density vitreous phases that can be achieved using
PVD techniques.72 However, for films deposited via CVD or spray deposition, the structure
of the film is predicted by dividing the substrate temperature (Tsub ) during TiO2 deposition
by its melting point, which for TiO2 is Tmelt = 1832◦ C.131
columnar
porous
dense
0.3
dense
polycryst.
0.4
vitreous
amorphous
1.0
Normalised substrate temperature (Tsub / Tmelt)
Figure 2.4: Structure zone model, expanded to include vitreous phases
observed with TiO2 (adapted from Guenther 72 ).
2.2.6
Non-Stoichiometric TiO2−x Thin Films
Titanium dioxide thin films exhibit a bluish, purplish or greyish hue once they are reduced
(become poor in oxygen) to TiO2−x or Tiy Ox .29, 73, 88, 132–136 Oxygen deficiency can occur
as a result of the deposition conditions. This is a common problem with evaporated thin
films, where the choice of source material (e.g., Ti, TiO, TiO2 or Ti3 O5 ) and oxygen partial
pressure of the system are critical. The formation of TiOx can also result from annealing
TiO2 in a vacuum or hydrogen (reducing) ambient. In this case, oxygen is lost from the
lattice to the furnace ambient. The optical and electrical properties of TiO2−x thin films will
be presented in Sections 2.3.4 and 2.4.2, respectively. Non-stoichiometric thin films observed
in this thesis will be discussed in Section 5.2.2.
2.3 Optical Properties
2.3
2.3.1
37
Optical Properties
Refractive Index, Extinction Coefficient and
Scattering
The refractive index n of a material is primarily determined by the polarizability of the
valence electrons.132, 137 Increased shielding of the positive nucleus results from elements with
higher atomic weight, and this increases the polarizability of the electrons and consequently
the refractive index. Silicon and germanium are good examples with refractive indices in
the infrared spectrum of 3.4 and 4.0. respectively. In compounds, the type of bonding
also affects the refractive index, with highly covalently bonded compounds yielding higher
refractive indices than predominantly ionically bonded compounds.137
The extinction coefficient k plays an attenuating role in the material. When the attenuation
is solely due to absorption, it is termed the absorption coefficient
α=
4πk
.
λ
(2.4)
Figure 2.5 displays a theoretical transmission spectrum for an optical thin film. It can be
seen that a region of high transmittance (region II) is located between the region of shortwavelength fundamental absorption (region I) and the long wavelength limit (region III). The
region of fundamental absorption is dependent on the electronic structure of the material,
while absorption in the long-wavelength region is due to lattice vibrations and/or free carrier
absorption. The transmission of region II is strongly linked to the stoichiometry and purity
of the thin film.
The extinction coefficient of a film can also be increased by the scattering of light by surface
and volume imperfections, such as surface roughness, porous microstructure, and density
fluctuations due to crystallinity, and is thus dependent on the deposition method.132, 137 The
term optical loss L is defined as being
L=A+S
(2.5)
1=R+T +L ,
(2.6)
with
where A is absorptance, S is the scattered component, R is reflectance and T is transmittance. Wang and Chao noted that an increase in the extinction coefficient of amorphous
TiO2 thin films deposited by sputtering upon annealing.138 The drastic increase observed in
k was attributed, firstly, to the formation of the anatase phase, and, secondly, to an increase
in the surface roughness due to the polycrystalline nature of the annealed film. The scattering loss of a rough surface is related to the root-mean-squared (RMS) surface roughness σ
38
2. Common Properties of TiO2 Thin Films
band-band
absorption
transparent
region
impurity
absorption
I
II
lattice vibration
absorption
drop caused by
free carrier
absorption
III
Figure 2.5: Schematic of a theoretical transmission curve for an optical
thin film. Three regions of absorption are shown, and each region has a
different mechanism for optical absorption, as explained in the text (from
Pulker 137 ).
by139
TIS =
4πσ 2
,
λ2
(2.7)
where TIS is the total integrated scattering. Wang and Chao proposed that the extinction
coefficient k could be divided into two components138
k = αa + αs ,
(2.8)
where αa is the absorption coefficient (as defined in Equation 2.4) and αs is termed a scattering coefficient. Figure 2.6 shows how the two contributions to the extinction coefficient, αa
and αs , vary as a function of annealing temperature in an oxygen ambient.138 It is observed
that αa decreases with temperature, due to the reduction of oxygen vacancies in the film,
while αs increases as surface roughness of the film becomes greater with the formation polycrystalline anatase. If this evaluation was performed, say, at λ = 400 nm (near the bandgap)
αa would increase at about 350◦ C due to lower bandgap of anatase (about 3.4 eV). It should
be emphasised that Figure 2.6 was derived empirically for sputtered TiO2 films, and that
wrinkling, cracking, or peeling of the film at temperatures above 300◦ C may not occur for
alternative deposition methods. For stoichiometric films deposited by spray deposition or
CVD, αa would not decrease at low annealing temperatures as there are no oxygen vacancies.
At a temperature of about 800◦ C, αa would increase again due to the increase rutile fraction
in the film (the bandgap of rutile is about 0.2 eV lower than that of anatase). The scattering
coefficient αs would most likely level off with the increased rutile fraction, as the grain size
2.3 Optical Properties
39
for anatase thin films is typically an order of magnitude greater than that of rutile films
(e.g., 20 nm versus 200 nm, respectively).119
Figure 2.6: Qualitative illustration indicating the behaviour of the extinction coefficient k, and its absorption component αa and its scattering
component αs with increased annealing temperature in an oxygen ambient
(from Wang and Chao 138 ).
2.3.2
TiO2 Thin Film vs. Single Crystal
The refractive index of a TiO2 thin film is typically much less than that of an anatase or
rutile crystal, while the extinction coefficient of the deposited material will generally be
greater than that of the bulk material. Figure 2.7 displays the refractive index for anatase
single crystals. Due to the limited studies performed on anatase single crystals the data
used for the anatase curves is shown in Table 2.1. Data for rutile is shown in Figure 2.7
for comparison.140 As both anatase and rutile are birefringent crystals it is necessary to
calculate the mean refractive index nmean for a randomly oriented polycrystalline thin film.
This is done using Equation 2.9 below
2n⊥ + n
,
(2.9)
3
where n⊥ and n are for oscillations perpendicular and parallel to the optical axis, respectively. The mean refractive indices of 2.70 for rutile126 and 2.532 for anatase34 (both at
nmean =
40
2. Common Properties of TiO2 Thin Films
λ = 600 nm) were selected as a reference bulk value to represent dense polycrystalline material.
Figure 2.7: Published values for the refractive index of single crystal
anatase, taken from Meyer and Pietsch,141 Hass,34 Fitzgibbons et al.,67
Kingery et al.,142 Washburn,143 and Kim.144 The dispersive curve for
single crystal rutile from Kim is also given.144
Very little anatase and rutile single crystal absorption data has been published in the visible
as these materials are essentially transparent. The optical bandgap of anatase and rutile
is about 3.2 eV145, 146 and 3.05 eV,145 respectively. The optical bandgap of amorphous TiO2
is commonly reported as being around 3.5 eV.147 Hence, optical absorption will increase
with the successive transformations from amorphous to anatase to rutile material. It can
be seen in Figure 2.8 that anatase has an absorption edge with a lower steepness, which
is attributed to the presence of excitons and more imperfections and disorder in anatase
crystals.120 Figure 2.9 shows the exponential dependence of the absorption coefficient at
10 K for different polarisations when illuminated with UV light.120
2.3.3
Variation with Deposition and Annealing Temperature
As previously discussed, many researchers have observed a direct linear relationship between
the refractive index and the density of the film.33, 67, 121–125 With TiO2 it is possible to deposit
increasingly dense films, approaching the density of the bulk material, due to the mixture
of amorphous, anatase, and rutile phases that can exist. Furthermore, while high refractive
indices can be achieved for an amorphous film, a different set of deposition conditions can
yield an anatase or rutile film with a higher refractive index.33 Thus, the optical properties
2.3 Optical Properties
41
Table 2.1: Refractive index data for anatase single crystal. The data for
the anatase and rutile curves in Figure 2.7 are not presented in the table
and can be found in Kim.144 The asterisked n values (∗ ) are for rutile.
Wavelength
λ (nm)
435.83
546.07
589.30
690.70
n
n⊥
2.7688 2.6576
2.5948 2.5161
2.5612 2.488
2.5097 2.4447
nmean
Reference
2.732
2.569
2.537
2.488
Meyer and Pietsch141
2.785 Washburn143
2.69467
2.59893
2.5431
2.5198
2.50333
2.49417
2.46727
2.46233
405
436
492
546
578
589
623
691
706
2.8760
2.7688
2.6586
2.5955
2.5694
2.534
2.5407
2.5106
2.5052
2.7395
2.6576
2.5691
2.5169
2.4950
2.488
2.4709
2.4456
2.4409
450
500
550
600
700
−
−
−
−
−
−
−
−
−
−
2.703
2.615
2.565
2.532
2.485
Hass34
550
−
−
2.57
Fitzgibbons et al.67
600
−
−
2.52
Kingery et al.142
600
2.60∗
2.90∗
2.70∗
Ribarsky126
of a TiO2 film can be effectively tuned by adjusting the deposition temperature, bearing in
mind that, in general, the extinction coefficient of a polycrystalline TiO2 thin film will be
higher than that of an amorphous thin film.
Several works that have included extensive optical characterisation of TiO2 films will be reviewed here. Hovel has published two excellent graphs demonstrating the trend of increasing
refractive index with increasing deposition temperature, as shown below in Figures 2.10(a)
and (b).148 The TiO2 films were deposited by thermal spraying and the refractive index in
Figure 2.10(a) was measured using ellipsometry at 633 nm.
Absorption values are reported in a variety of ways, including calculations of α or the TiO2
bandgap energy, and plots of optical transmittance or absorptance versus wavelength. As
previously discussed, contributions towards optical losses in film arise from the fundamental
42
2. Common Properties of TiO2 Thin Films
Figure 2.8: Fundamental absorption edge of anatase and rutile single
crystals, measured at a temperature of 10 K (adapted from Tang et al.120 ).
Figure 2.9: The exponential dependence of the absorption coefficient of
single crystal anatase, measured at 10 K with light polarized in Ec and
E⊥c directions (adapted from Tang et al.120 ).
absorption edge of the TiO2 film as well as surface and volume imperfections. Figure 2.11
indicates the general trend of increasing absorption coefficient with annealing temperature.
This is in agreement with the formation of the anatase phase, which possesses a lower
bandgap than amorphous TiO2 . DeLoach et al. found that the absorption edge for sputtered
TiO2 films decreased from 3.41 eV for films with a small rutile fraction (< 0.17) to 3.22 eV
for films with a large rutile fraction (> 0.7).149
2.3 Optical Properties
43
Figure 2.10: (a)Variation of TiO2 refractive index with annealing temperature, and (b) corresponding dispersive refractive index relations (adapted
from Hovel 148 ).
Figure 2.11: Absorption coefficients of TiO2 films deposited at various
temperatures (from Hovel 148 ).
Kamataki et al. performed optical characterisation of TiO2 thin films deposited by atmospheric pressure chemical vapour deposition (APCVD) at 300◦ C in the wavelength range
250 − 850 nm.150 Measurements were also performed on samples that were annealed for 1 hr
in both oxygen (O2 ) and nitrogen (N2 ) ambients at temperatures of 500◦ C, 700◦ C and 900◦ C.
Figure 2.12(a) shows a maximum in n at about 310 nm, while Figure 2.12(b) indicates that
there is negligible absorption in the films at wavelengths greater than 350 nm. Both n and
k exhibit a trend of increasing with higher annealing temperatures.
The optical constants of electron-beam (e-beam) evaporated TiO2 thin films were measured
44
2. Common Properties of TiO2 Thin Films
Figure 2.12: (a) Refractive index and (b) extinction coefficient of
APCVD-deposited and annealed TiO2 thin films (adapted from Kamataki
et al.150 ).
by Kim using spectroscopic ellipsometry (SE) in the spectral region 1.5 − 5.5 eV.140, 144 A
double oscillator model for amorphous materials151 is used to fit n and k values. Kim also
successfully modelled the film as being polycrystalline anatase with a 16% void content.140
Figure 2.13 displays n and k for the TiO2 evaporated film along with the “void-free” equivalent film. A three-layer model with varying void fraction was used to model the 96.4 nm-thick
(total) film. The surface roughness was successfully modelled by 13.1 nm-thick top layer with
a 34% void incorporation.
Mardare and Hones deposited TiO2 thin films at 100◦ C (sample B) and 250◦ C (sample
A) onto glass substrates using RF sputtering and determined n and k using SE.82 Figure
2.14(a) indicates that high refractive indices were achieved even at these low deposition
temperatures, while Figure 2.14(b) demonstrates that the extinction coefficient remained
below 0.02 for the sample deposited at 250◦ C for wavelengths > 400 nm. The dispersive
relations for Samples C and D in Figures 2.14(a) and (b) are for doped TiO2 thin films and
will not be discussed here.
Szlufcik et al. investigated screen-printed TiO2 AR coatings and found that the refractive
index increased linearly with annealing temperature up to a maximum of 2.30 at 800◦ C.114
2.3 Optical Properties
45
Figure 2.13: Dispersive n and k values calculated from SE data for an
e-beam evaporated TiO2 film (solid lines)and the equivalent “void-free”
film (open circles). The refractive indices of polycrystalline anatase and
rutile are also shown for comparison (from Kim 144 ).
Figure 2.14: (a) Refractive index and (b) extinction coefficient of RF
sputtered TiO2 thin films. (from Mardare and Hones 82 ).
2.3.4
Variation with Deposition and Annealing Ambient
Photovoltaic researchers have noted that the optical absorption of non-stoichiometric TiO2−x
thin films increased in the short wavelength region, precisely where higher efficiency solar cells
46
2. Common Properties of TiO2 Thin Films
exhibited their improved response.43 As mentioned previously, non-stoichiometric TiO2−x
films can be achieved under a variety of deposition and annealing conditions. The effect of
depositing TiO2 thin films in an oxygen poor environment is demonstrated in Figure 2.15.152
Films were evaporated at two different base pressures, 5 × 10−5 Torr and 1 × 10−4 Torr,
and at one oxygen partial pressure. As shown in Figure 2.15, films evaporated without the
presence of oxygen exhibited a much lower transmittance over the visible spectrum than the
film deposited with an oxygen partial pressure of 5 × 10−5 Torr. The exact stoichiometry of
the films is unknown, however a direct relationship between base pressure and transmittance
can be observed.
Figure 2.15: Variation of transmittance of evaporated TiO2 films with
base an oxygen partial pressure (from Jiao and Anderson 152 ).
Zakrzewska et al. correlated the optical and physical properties of sputtered TiO2−x thin
films deposited with different stoichiometries.153 The measure of stoichiometry was determined as the fraction I/I0 , which is the ratio of the titanium plasma line intensity during
deposition to the 100% metallic titanium plasma line intensity. Higher I/I0 values indicate
a greater departure from stoichiometry. The main graph in Figure 2.16 shows the increase in
the film absorption coefficient with increasing I/I0 . The inset graph in Figure 2.16 shows that
the refractive index, measured at λ = 800 nm, increases linearly with decreasing oxidation
state of the TiO2−x film.
For TiO2 thin films deposited by ion-beam sputtering, it was found that the refractive
index exhibited a maximum (2.52 at λ = 633 nm) with an oxygen concentration during
the deposition of [O2 ]=30%.154 The level of absorption in the film was found to decrease
dramatically for [O2 ]< 30%, especially at the shorter wavelengths of 500 nm and 633 nm.
A difference in the optical properties of APCVD-deposited TiO2 films annealed in O2 and N2
ambients was noted by Kamataki et al., as shown in Figure 2.17. This was attributed to the
growth of SiO2 at the TiO2 :Si interface of O2 annealed samples. The analysis of Kamataki
et al. determined that after 1 hr at 500◦ C an 11.6 nm-thick SiO2 layer had grown at the
2.3 Optical Properties
47
Figure 2.16: Variation of refractive index (inset) and absorption coefficient (main graph) with oxidation state of the TiO2−x thin films (from
Zakrzewska et al.153 ).
interface. This SiO2 growth rate is much greater than other researchers have observed at
the same temperature.17
Figure 2.17: Effect of annealing ambient on the (a) refractive index and
(b) extinction coefficient of APCVD-deposited TiO2 thin films annealed
at 500◦ C (adapted from Kamataki et al.150 ).
Fuyuki and Matsunami noted that the presence of a small amount of water vapour may
cause scattered values of the dielectric constant in CVD-deposited TiO2 films.147 Subse-
48
2. Common Properties of TiO2 Thin Films
quent research demonstrated that the presence of water vapour altered the refractive index
from about 2.0 (no H2 O) to about 2.15 (300 ppm H2 O).155 Ardakani observed that as the
hydrogen pressure in the laser ablation chamber increased, the measured reflectance of the
films decreased due to the higher concentration of oxygen-deficient phases of TiO2−x .132
Golego et al. determined that annealing spray-deposited TiO2 films in hydrogen at 500◦ C
did not affect the absorption spectra, but an absorption peak at 400 − 600 nm appeared
after the annealing temperature was increased to 900◦ C.115 This indicates that absorption
in the visible spectrum occurs after the film is reduced to TiO(2−x) . Ottermann et al. determined that there was a clear relationship between the hydrogen content of TiO2 thin films,
deposited using different production processes, and their refractive index.156 An increase
in refractive index was observed for decreasing hydrogen content, regardless of whether the
films were amorphous or anatase. Films formed by chemical reaction methods, such as the
sol-gel technique and dip-coating, exhibited high hydrogen contents. Thus, it is assumed
that the organic molecules from the liquid precursor have not been fully decomposed by
high-temperature processing.
Yoldas and O’Keeffe noted that spin-on TiO2 samples annealed in air had a refractive index
of 2.1 (at λ = 546.1 nm), whereas the same coating annealed in vacuum had a refractive index
of 2.4.157 This increase in refractive index was also observed for films annealed in argon or
nitrogen, and was attributed to the increased densification resulting from the thermochemical
reactions occurring with the residual terminal bonds in the absence of oxygen.102
2.3.5
Variation with Other Deposition Conditions
Depending on the type of deposition system used, there are many different parameters that
may be tuned to adjust the optical properties of the TiO2 thin film. The results of Bendavid
et al. are included here due to the extremely high refractive indices achieved - 2.56, 2.62
and 2.72 (at λ = 550 nm) for amorphous, anatase and rutile films, respectively. The films
were deposited by filtered arc deposition (FAD) and a range of bias voltages were applied to
the substrate. Figure 2.18 shows the refractive index and extinction coefficient of two TiO2
films deposited with different bias voltages, 0 V and −400 V, which were determined to be
anatase and rutile, respectively.
2.3.6
Optical Properties of Highly Porous TiO2 Films
A TiO2 film with a high porosity, or low packing density, will have a reduced refractive
index. The optical properties of porous films can be determined using a Bruggeman effective
medium approximation (EMA), which can be expressed as158, 159
(1 − fv )
1 − ε
ε
m−ε
+ fv
=0,
ε
ε
1 + 2
ε
m + 2
(2.10)
2.3 Optical Properties
49
Figure 2.18: Refractive indices and extinction coefficients of FADdeposited TiO2 thin films. The film deposited with 0 V substrate bias
is anatase and the −400 V film is rutile (from Bendavid et al.33 ).
where fv is the volume fraction of void in the film, and ε and εm are the dielectric functions
of the unknown film and the main constituent material, respectively. The dielectric function
of the void is taken to be unity, while the complex dielectric constant of a material is related
to its refractive index and extinction coefficient by
ε = (n + ik)2 .
(2.11)
TiO2 thin films deposited using the sol-gel method have exhibited void fractions in the
ranging from 25% at the exposed surface to 38% at the TiO2 :substrate interface.128 This
variation in void content translates directly into a variation in refractive index of the film,
which, in this case, was 2.15 (at λ = 500 nm) at the outer surface and 2.05 at the inner
surface.128, 160 The higher refractive index at the outer surface was attributed to densification
and crystallisation processes that begin at the outer surface and gradually progress through
the depth of the film during annealing.128 The void fraction observed in evaporated films
was slightly lower at 19.6 − 24.5%.161 Kim determined that TiO2 thin films deposited by
e-beam evaporation had a void content of 16% when compared to dense, polycrystalline
anatase.140, 144 TiO2 thin films deposited by RF sputtering exhibited a much lower void
content (< 5%),82 which can be attributed to much greater kinetic energy possessed by the
atoms as the impinge on the surface.
Another issue related to porous films is the sorption (either adsorption or absorption) of
water vapour. When the optical properties of a thin porous film are measured in a vacuum
the results may be very different to those achieved in air. This is because on exposure to
the atmosphere, the sorption of water vapour, which has a high refractive index than air
nH2 O = 1.33, results in a marked increase in the refractive index of the film.162 Borgogno et
al. measured the refractive index of a TiO2 thin film in vacuum and in air, and attributed
the 0.1 higher n in air to the sorption of water vapour,163 while Leprince-Wang et al. and
Nguyen Van et al. noted a 3% increase in n after exposure to air.161, 164 Ben Amor et al.
50
2. Common Properties of TiO2 Thin Films
observed that it was possible to detect the adsorbed water vapour using Fourier transform
infrared (FTIR) spectroscopy.165
2.4
2.4.1
Electrical Properties
TiO2 : Insulator or Conductor?
TiO2 is an n-type semiconductor with a relatively wide bandgap of 3.05 − 3.5 eV. Single
crystal titanium dioxide TiO2 has a resistivity of about 1013 Ω cm at room temperature,
and about 107 Ω cm at 250◦ C.29, 116, 155 These values are similar to conductivities reported
for single crystal rutile:141 at 30◦ C the conductivity was 5 × 10−14 Ω−1 cm−1 while at 260◦ C
it had decreased to 3.3 × 10−9 Ω−1 cm−1 . Therefore, TiO2 is generally considered to be an
insulator at temperatures less than 200◦ C.141, 166
There are a wide number of applications for highly insulating TiO2 films, including its use
as a super-thin gate dielectric in MOSFET devices.167 However, the electrical properties of
the TiO2 film can be altered to become highly conductive for various applications, such as
humidity and gas sensors,83, 168 or as an corrosion-resistant electrode.132, 169 The properties
of TiO2 thin films have been likened to those of zinc oxide (ZnO), with typically a large
bandgap, small grain size, low carrier density, n-type conductivity, long photoconductivity
relaxation, and low mobility.115 This section will briefly discuss the relationship between the
electrical properties of TiO2 and the deposition parameters, annealing ambients, and dopant
atoms.
2.4.2
Non-Stoichiometric TiO2−x Thin Films
The semiconducting properties of non-stoichiometric titanium dioxide are very dependent on
the extent of the oxygen deficiency in the film.132, 170 The conductivity mechanism in undoped
TiO2 relies on a deficiency of oxygen atoms in the material. These oxygen deficiencies behave
like n-type defects, with a typical density of 1018 −1019 cm−3 .171 As the stoichiometry departs
from the ideal Ti:O ratio of 1:2, several changes in the film result. Firstly, as previously
mentioned in Section 2.2.6, the TiO2−x films exhibit a blue, purple or black colour depending
on the film stoichiometry. Secondly, due to the increased number of oxygen vacancies, the
optical absorption in the visible is dramatically increased for these films (see Section 2.3.4).
Additionally, these sub-oxides, especially TiO and Ti2 O3 , are known to exhibit metallic
conduction or semiconducting properties.58, 172, 173 The conductivity is observed to increase
dramatically with slight departures from stoichiometric TiO2 , with < 10−10 Ω−1 cm−1 for
TiO2.00 ; 10−1 Ω−1 cm−1 for TiO1.9995 ; 0.8 Ω−1 cm−1 for TiO1.995 ; and 102 Ω−1 cm−1 for
TiO1.75 .141 Rao et al. determined that the resistivity of Ti2 O3 is about 94 × 10−3 Ω−1 cm−1
2.4 Electrical Properties
51
at room temperature.174 Feuersanger noted that the deposition of TiO2 thin films by direct
evaporation of a TiO2 source results in the loss of O2 , and the films were semiconducting
rather than insulating.66 Tsuda et al. showed that Ti2 O3 exhibits a sharp transition in
conductivity at 227◦ C, exhibiting metallic conductivity above that temperature and semiconducting below it.173
Thus, TiO2−x thin films would seem to be incompatible with high-efficiency solar cells, as the
increased optical absorption is undesirable in an AR coating the buried-contact fabrication
sequence requires an insulating film to act as a metallisation mask. All films used in this
work were intentionally stoichiometric.
2.4.3
Variation with Deposition or Annealing Ambient
Much work has been performed determining the electrical properties of as-deposited TiO2
films, and the effect of the deposition or annealing ambient. It is commonly reported that
thin films deposited in a low oxygen partial pressure result in the formation of sub-oxides such
as TiO and Ti2 O3 .113, 135 This results in increased electrical conductivity in the deposited
films.175
When stoichiometric TiO2 films are annealed in a vacuum, hydrogen, or low oxygen pressure
ambient, loss of oxygen from the film results.116, 132, 169, 176 However, at room temperature
it has been demonstrated that the film conductance does not increase when placed in a
vacuum chamber and dry hydrogen gas is introduced.177 Yeung and Lam found that very low
conductivity (10−13 Ω−1 cm−1 ) films could be achieved by performing an extended oxidation
of up to 30 hours.178 Stoichiometric, as-deposited TiO2 thin films possess a negative fixed
charge density, in the order of −5 × 10−8 C cm−2 .117, 178, 179 Erkov et al. observed that after
annealing in N2 O this fixed charge density became zero, while annealing in vacuum created a
film with a positive fixed charge density.117 In comparison, SiO2 has a positive fixed charge
density.179, 180
Takahashi et al. demonstrated that the resistivity of TiO2 thin films could vary by more
than an order of magnitude depending on the measurement ambient.170 The lowest resistivity
was observed in a hydrogen (reducing) ambient, consistent with the properties of an n-type
semiconductor. Additionally, the film conductivity was observed to increase by 104 when
illuminated with a fluorescent lamp.
2.4.4
Doped TiO2
Doped TiO2 films have been used as transparent conducting oxides (TCO),58 for creating
heterojunction solar cells,59, 61 for suppressing leakage currents in capacitors,166, 181 increasing
the sensitivity of gas detectors115, 168 and as electrodes for photoelectrolysis devices.63–65
52
2. Common Properties of TiO2 Thin Films
Dopant atoms have also been introduced into TiO2 thin films to create a diffusion source for
an underlying silicon layer. These atoms then diffuse into the silicon during a subsequent
high-temperature anneal. This will be discussed in more detail in Chapter 6.
The majority of dopants enhance the n-type semiconducting properties of TiO2 . These
dopants include niobium (Nb),116, 168, 182 tantalum (Ta),116 vanadium (V),116 fluorine (F),116
and hydrogen (H).116, 172 Dopants that change the film to being p-type include aluminium
(Al),116 iron (Fe),116, 182, 183 and indium (In).59 There are instability issues with both
hydrogen-doped (n-type) and all p-type TiO2 thin films. In the former case, the hydrogen is very mobile and is likely to result in electrically unstable devices.116 In the latter case,
the electrical behaviour of the Al- or Fe-doped film depends on the oxygen concentration,
and it is possible for the film to revert to n-type.116, 182 Additionally, dopants such as aluminium, iron,170 chromium and cadmium,59 are known to extend the photoactive response
from the TiO2 film under visible light. Table 2.2 includes some references to published works
on both doped and undoped TiO2 crystals and films, and their corresponding resistivity.
2.5
2.5.1
Chemical Properties
Chemicals used in Making Solar Cells
In general, the resistance of thin films to chemical attack is a highly desirable property for
most applications.137 Schr¨oder stated the requirements for a film to be considered chemically
resistant. A chemically resistant film must36
i) be insoluble to the attacking medium
ii) be impervious to the attacking medium, and
iii) form a solid bond to the substrate, preventing chemical attack at edges or through
defects in the coating.
A large variety of chemicals are used to fabricate solar cells, but this is dependent on the
specific type of solar cell and whether it is produced in a laboratory or industrial environment.
A summary of the typical chemicals used at different stages in fabricating buried-contact
solar cells include:
• Sodium hydroxide (NaOH), potassium hydroxide (KOH), or a mixture of hydrofluoric
(HF) and nitric (HNO3 ) acids: for texturing (basic solutions only) or etching silicon
• Ammonium hydroxide (NH4 OH), hydrochloric acid (HCl) or sulphuric acid (H2 SO4 ):
for removing organic and metallic contaminants from the surfaces of the wafers − each
2.5 Chemical Properties
53
Table 2.2: Measured resistivity of anatase and rutile crystals and thin
films after annealing in various ambients and/or being doped with various
impurities (adapted from Kurtz and Gordon 116 ).
Resist.
(Ω cm)
1014
1
3
102 − 10−3
10−1 / 101
3 × 106
2 × 104
3 × 103
1.5
0.1
0.3
10
4 × 10
1010 − 109
107 /
5 × 10−2
106 − 104
107 − 102
103
107 − 103
104 − 103
4 × 102
Sample Preparation
Single Crystals - Undoped
Stoichiometric rutile
Rutile reduced at 1000◦ C with an O2 partial
pressure of 10−11 Pa.
Rutile reduced at 700◦ C for 2 hr in H2 , resulting in a carrier conc. of 4 × 1018 cm−3
Rutile reduced in H2 or vacuum at 750◦ C for
up to 2 hr
Anatase: as-grown/after repeated O2 annealing
Reference
Clark29
Gautron et al.184
Butler185
Ginley
and
186
Knotek
Forro et al.187
Single Crystals - Doped
TiO2 doped with 1 mol % boron
Johnson188
TiO2 doped with 1 mol % phosphorus
Johnson188
TiO2 doped with 1 mol % niobium (Nb)
Johnson188
TiO2−x Fx (x=0.002)
Subbarao et al.189
TiO2 doped with 1020 Nb atoms cm−3
Bogomolov et al.190
TiO2 doped with 1% Nb and reduced at Gautron et al.184
1000◦ C with an O2 partial pressure of
10−11 Pa
Thin Films - Undoped
Evaporated films annealed in O2 for up to Yokota et al.191
14 hr
MOCVD at 200◦ C as-deposited
Fuyuki and Matsunami147
Reactively sputtered anatase as-deposited / Tang192
reduced at 450◦ C in vacuum
Evaporation of Ti under 10−3 − 10−6 Torr O2 Chen et al.135
1.6 − 17.2 µm thick films (higher deposition Takahashi et al.71
temperature leads to higher resistivity film)
Films deposited via spray-CVD method at Badawy and ElTaher193
400◦ C
Thin Films - Doped
CVD of Al-doped TiO2 films, deposited at Takahashi et al.170
435 − 480◦ C
CVD of Fe-doped TiO2 films, deposited at Takahashi et al.170
415 − 470◦ C
CVD of Cr-doped TiO2 films, deposited at Takahashi et al.170
435◦ C
54
2. Common Properties of TiO2 Thin Films
of these chemicals is mixed with hydrogen peroxide (H2 O2 ) and de-ionised (DI) water
at a ratio of 1:1:5. With NH4 OH:H2 O2 :H2 O this is referred to as an ”RCA1” clean,
while HCl:H2 O2 :H2 O is called an ”RCA2” clean.194
• HF: for removing silicon dioxide (SiO2 ) and doped SiO2 layers − diluted to about 5%
in DI water
• Nickelex − commercial product from Transene Inc. (MA, U.S.A.) that contains nickel
chloride. It is used for forming a thin electrolessly plated nickel layer in the grooved
contacts in buried-contact solar cells.
• Enplate Cu-704 − another commercial product (Enthone, Melbourne) that has three
components (A, B, M), containing copper sulphate (CuSO4 ), formaldehyde (HCHO),
NaOH, potassium cyanate (KCN), as well as other organic wetting agents. It forms
the main bulk of the conductor in the grooves.
• Silver plating − contains (AgCN) silver cyanate. It is used as a flash coating to improve
solderability
During the silicon etching procedures, the TiO2 film would not normally be present on
the wafer, however the TiO2 would ideally be resistant to all of the chemicals used in the
subsequent cleaning and metal plating processes. As the majority of results for the chemical
resistance of TiO2 films are against HF this acid will be dealt with in a separate section.
2.5.2
Hydrofluoric Acid
A critical step in the buried-contact solar cell fabrication sequence is the removal of the
P2 O5 :SiO2 from the grooves before performing electroless metal plating, using either dilute
hydrofluoric acid (HF) or buffered HF (1:15, HF:NH4 F). This allows nickel to plate to the
heavily doped silicon, however if even a thin oxide is present the metal will not plate. In
general, the crystalline phases of TiO2 , anatase and rutile, are much more chemically resistant
than amorphous TiO2 . Kurtz and Gordon noted that TiO2 films deposited via atmospheric
pressure chemical vapour deposition at 400 − 600◦ C were very chemically inert and that
surpassed the chemical resistance of glass to attack by common solvents and acids.116 These
films could not be removed mechanically or chemically, and etching away the glass substrate
in HF left an intact TiO2 film.
Feuersanger reported that films deposited at 150◦ C were easily etched in 10% HF.66 Fitzgibbons observed that films deposited by CVD at 150◦ C etched at 50 − 75 ˚
A/s in 0.5% HF and
very rapidly in 48% HF.67 Upon subsequent annealing at 350◦ C, dilute HF undercut the
TiO2 layer by dissolving a thin interfacial SiO2 layer, while concentrated HF etched the film
slowly and unevenly. Annealing at 700 − 1000◦ C made the films resistant to dilute HF, and
2.5 Chemical Properties
55
concentrated HF resulted in undercutting. Spray deposited films annealed for 30 s at 450◦ C
were removed in 2 − 5 min when subjected to 1 − 5% aqueous solutions of HF,46 however the
aim of this work was to ensure the TiO2 films were amorphous. Yokozawa found that TiO2
(anatase) films deposited by CVD at temperatures of 530 − 700◦ C in N2 hardly dissolved
(< 0.03 ˚
A/s), even in concentrated HF.118 On the other hand, films deposited at the same
temperatures, but in an N2 and O2 ambient, were less crystalline and etched at 4 − 60 ˚
A/s in
diluted HF. Fuyuki et al. deposited TiO2 film deposited by metal-organic CVD (MOCVD)
with water vapour present. The etch rates of these films in 10% HF were about 5 nm/min
at 400◦ C and 200 − 1000 nm/min at 200◦ C.155 Brown and Grannemann used buffered HF to
remove TiO2 films annealed in O2 at 1000◦ C, by undercutting grown SiO2 and leaving the
TiO2 film intact.31 Rausch and Burte observed that films deposited at 450◦ C by low-pressure
CVD (LPCVD) etched in 50% buffered HF.195 Frenck et al. determined that parameters
other than in situ and ex situ temperature have only a minor influence on etch rate in
buffered HF.124 If no post-deposition annealing step is performed, the PECVD films are only
chemically resistant to buffered HF with deposition temperatures greater than 270◦ C. Lee
noted that the film etch rates in buffered HF decreased from 2.9 nm/s to 0.14 nm/s as the
anatase fraction of the film increased from 0.35 up to 0.7.113
Thus, there is a trend of increased chemical resistance of TiO2 thin films deposited or annealed at higher deposition temperatures. The majority of films treated at temperatures
greater than 300◦ C either could not be etched, or only etched slowly, in HF. This temperature coincides with the amorphous−anatase transformation.
There are a number of published works that contrast the above trend of increased chemical
resistance with increased annealing or deposition temperatures. Rausch and Burte claim
that the etch rate of TiO2 films annealed at 900◦ C was four times greater than the asdeposited layer, which etched at a rate of 10 nm/min in 50% buffered HF.195 However later
in the paper, it is stated that the annealed TiO2 film lifted off, exhibiting therefore a greater
chemical resistance. Secondly, Harbison and Taylor reported an etch rate of 700 ˚
A/min in
◦
179
48% HF for material grown by hydrolysis at 800 − 1000 C. This anomalous result does
not agree with the above trend, especially considering the high growth temperature. Other
results of TiO2 films deposited at relatively high temperatures (550 − 650◦ C) that etched,
include the films prepared by Balog et al. using MOCVD, which etched in a solution of 5%
HF.196 Burns also found that films formed by rapid thermal annealing (30 s at 550 − 650◦ C)
of titanium metal at these temperatures could be etched in HF.197 It is claimed that the films
are polycrystalline rutile, which is in agreement with the high dielectric constants reported,
but not the poor chemical resistance. It has been noted that the chemical resistance to HF
is highly dependent on the film deposition technique and water-content of the TiO2 films.141
The inclusion of a small fraction of SiO2 (6.25 at. %) into the TiO2 film results in rapid
etching (70 nm/min) in buffered HF, even after annealing at 1260◦ C for 10 min.198
56
2.5.3
2. Common Properties of TiO2 Thin Films
Other Acids and Bases
Kurtz and Gordon found that TiO2 films were chemically resistant to attack by common
solvents and acids, and surpassed the chemical resistance of glass.116 The chemical resistance
of TiO2 to sulphuric acid (H2 SO4 ) is very dependent on the film preparation technique,141
but water-free TiO2 is insoluble in all other acids and bases. This is in agreement with
Barksdale, who observed that TiO2 is known to be slightly soluble in H2 SO4 , HF, and a few
strong alkalis, however after annealing at 1000◦ C it is almost completely chemically inert.199
TiO2 films prepared by Balog using MOCVD at 550 − 650◦ C were able to be etched in a
solution of 70% H2 SO4 .196 Fitzgibbons found that the chemical resistance increased with
temperature, with films deposited at 150◦ C etching at 25 − 40 ˚
A/s.67 However, even films
annealed at 1000◦ C still etched slowly (1000 ˚
A/hr in boiling pure H2 SO4 . At the same annealing temperature, TiO2 films were observed to etch very slowly in 85% H3 PO4 . Yoldas
and O’Keeffe found that for 1 wt. % concentrations of H2 SO4 , H3 PO4 , and HNO3 no observable deterioration was observed after 75 days.157 That research also showed an increase in
chemical resistance to acids for TiO2 films annealed at higher temperatures (up to 400◦ C).
TiO2 films deposited by the sol-gel technique and baked at 120◦ C were observed to etch in
boiling 0.3 mol HNO3 (pH=0.5).200
Schr¨oder found that an observable colour change with TiO2 films baked at 200◦ C occurred
after about 4 hours immersion in a 10% HCl solution, whereas after baking at 550◦ C the
same colour change occurred after more than 200 hours.36 Szlufcik et al. determined that a
1 wt. % HCl solution completely deteriorated TiO2 films fired at 300◦ C after 26 days, however
no observable deterioration was achieved after firing at temperatures greater than 600◦ C.114
For spray-deposited films (450◦ C) no observable deterioration was found after placing the
films in a 1% solution of boiling HCl for 1 hour.46
The resistance of TiO2 thin films to various bases has also been reported in the literature.
Schr¨oder performed experiments with TiO2 thin films in a 10% NaOH solution, and found
that for films annealed at 200◦ C an observable colour change occurred after 5 hr.36 Increasing the annealing temperature to 500◦ C delayed the same colour change observation to more
than 200 hours. TiO2 films baked at 120◦ C were badly corroded after placing them in boiling 0.5 mol NaOH solution (pH=13.5) for 30 min.200 The addition of 1 at. % boron oxide
(B2 O3 ) significantly increased the chemical resistance of the film. Kern and Tracy found
no observable deterioration for spray-deposited TiO2 films annealed at 450◦ C in a 1% solution of NH4 OH.46 For screen-printed TiO2 films the chemical resistance to 1 wt. % NaOH
and NH4 OH solutions was poor for annealing temperatures of 600◦ C.114 No observable deterioration was detected after increasing the annealing temperature to 800◦ C. Honsberg et
al. found that cleaning 60 nm-thick, spray-deposited TiO2 films on silicon wafers in RCA
solutions resulted in thickness reduction in the film of 6 nm.20 This is most likely due to the
NH4 OH in the RCA1 clean.194 Yoldas and O’Keeffe found that the chemical resistance of
2.6 Conclusions
57
TiO2 to 1 wt. % NH4 OH films fired in a vacuum at 500◦ C) was greater than that of films fired
in air.157 For the air-baked films, the deterioration was obvious after 7 days, and complete
after 10 − 20 days. NH4 OH based etches can also be used for removing unreacted titanium
in films.113
Changes in the reflectance spectra of TiO2 films containing small fractions of SiO2 that were
fired at 400◦ C were noted for 1% HCl solutions after 24 hours.201 No change was noted for a
1% NH4 OH solution after 192 hours, but the 1% NaOH solution resulted in the swelling of
the film and a reduction in refractive index. The hierarchy of chemical attack for these films
was given as NaOH > HCl > NH4 OH. This is in agreement with the results of Schr¨oder,36 but
in contrast with the NH4 OH results from other research.157 However, Yoldas and O’Keeffe
postulated that the increased chemical resistance to sol-gel films baked at only 80◦ could be
due to the retention of organic groups.157 The results of Schr¨oder indicate that the chemical
resistance of TiO2 containing SiO2 is significantly poorer than pure SiO2 films.36
TiO2 films deposited at above 400◦ C have also been shown to be resistant to the nickel and
copper electroless metal plating solutions used in the buried-contact solar cell fabrication
sequence.20 The chemical resistance to the copper plating solution is significant as it is
strongly basic (pH=11) and contains NaOH.
Thus, increased deposition and annealing temperature result in greater chemical resistance
for the majority of other acids and bases - a similar trend to that observed with hydrofluoric
acid.
2.6
Conclusions
The properties of TiO2 depend greatly on whether the sample is bulk material or a thin film
and the phase of the material. With CVD techniques the phase is usually directly related to
the substrate temperature, with amorphous TiO2 forming at temperatures less than 300◦ C,
the metastable crystalline phase of anatase in the temperature range 300 − 700◦ C, and the
stable crystalline phase of rutile at temperatures greater than 700◦ C. Various impurities and
substrate types are known to partially or totally impair or enhance the transformation of
a TiO2 thin film to rutile. A useful linear relationship can be found between the refractive
index and TiO2 film density.
While optical constant data for rutile is fairly easy to find, it was necessary to collect small
sets of data for anatase from over many decades in order to construct a dispersive refractive
index model. Anatase has a fundamental absorption edge with a lower steepness than rutile,
due to the increased disorder observed in anatase crystals. A trend of increasing refractive
and extinction coefficient with increasing deposition temperature is commonly observed.
Thus, accurate control of the temperature results in accurate tuning of the TiO2 thin film’s
58
2. Common Properties of TiO2 Thin Films
refractive index and, to a certain extent, extinction coefficient.
The electrical properties are briefly discussed. Although TiO2 is a wide bandgap n-type
semiconductor, at room temperature it behaves like an insulator. The film resistivity is
extremely sensitive to the deposition method and on the availability of oxygen to the system.
Films deposited in oxygen poor ambients exhibit greatly increased electrical conductivity and
optical absorption, however subsequent furnace oxidation processes can reduce these oxygen
vacancies. Doping of TiO2 thin films in order to increase the electrical conductivity or
photoconductivity of the film has been experimented with in many different applications.
The chemical resistance of TiO2 thin films change markedly during their amorphouspolycrystalline transition. Amorphous TiO2 film are highly soluble in hydrofluoric acid,
while dense, polycrystalline films can be insoluble. TiO2 films seem to be most susceptible
to etching in strong basic solutions such as sodium hydroxide and ammonium hydroxide.
The chemical resistance to sulphuric acid is dependent on the film preparation technique.
There is a definite trend of increased chemical resistance to all chemicals with increased film
deposition or annealing temperature.
Chapter 3
TiO2 Thin Film Deposition
Equipment
After evaluating the desired film properties and performing a literature survey on the possible deposition methods, the author designed and constructed two TiO2 thin film deposition
systems. The first system used an ultrasonic atomisation spray nozzle in order to create an
aerosol of the TiO2 precursor. The reasons for choosing ultrasonic spray deposition (USD)
and the TiO2 precursor, tetraisopropyl titanate (TPT) are discussed. A diagram of the system is presented and the necessary components described. With this system, very dense
TiO2 films could be deposited at a temperatures of 450◦ C and the very shallow deposition
angles successfully prevented TiO2 film deposition in grooves scribed in the front surface of
the wafer. Thickness uniformity and chemical resistance problems with the electroless metal
plating solutions, used for contact formation in the buried-contact solar cell fabrication sequence, arose due to the frequent inclusion of TiO2 particulates (1 − 30 µm in diameter) in
the 70 nm thick films.
For this reason the TPT was placed in a stainless steel bubbler, resulting in the development
of a simple atmospheric pressure chemical vapour deposition (CVD) system. TiO2 films
deposited using the CVD system exhibited much greater thickness uniformity and a lack of
particulates. Additionally, it was also possible to deposit films anywhere in the temperature
range 150 − 450◦ C, enabling the refractive index to be tuned. The tradeoff with the new
system was that the film density decreased significantly.
3.1
Introduction
The previous chapter described the physical, optical, electrical and chemical properties of
TiO2 thin films, primarily as a function of deposition or annealing temperature. Based on
previous experience with a TiO2 deposition system at UNSW, TiO2 thin films with the
59
60
3. TiO2 Thin Film Deposition Equipment
following properties were desirable for this work:
• Physical: Dense, defect-free films with a thickness of about 70 nm. The thickness
uniformity should be within ±10%. Films that are dense and lack defects (such as
large, amorphous TiO2 particulates) will be impervious to chemical attack. Denser
films will typically perform better as a diffusion barrier as well.120
• Optical: Dense TiO2 films will also enable a refractive index of about 2.4 for light
of 600 nm wavelength to be achieved at low deposition temperatures (< 450◦ C). This
value is the optimum refractive index for an antireflection (AR) coating for a silicon
solar cell encapsulated under glass. An excellent AR coating is necessary to reduce the
amount of reflected light from the planar multicrystalline silicon (mc-Si) wafers.
• Electrical: The TiO2 film must be insulating in order to act as a metallisation mask
to the electroless metal plating solutions. This requires that the films are stoichiometric, and do not exhibit the oxygen vacancies that form the conduction mechanism in
reduced TiO2−x thin films.
• Chemical: The TiO2 films should be polycrystalline anatase or rutile in order to withstand the necessary wet chemical processing during solar cell fabrication. The films
need to withstand RCA cleaning, dilute hydrofluoric acid, and the electroless metal
plating solutions.
A simple TiO2 pressurized spray system had already been in operation for some years at
the UNSW, using tetraisopropyl titanate (TPT) as the precursor.202 This system used a
spray-painting gun pressurized with nitrogen, and the TPT flow was controlled via a needle
valve. A TPT aerosol was formed and transported to the wafer by the nitrogen flow. The
wafer was held by vacuum onto a stainless steel block that was placed on top of a 2 kW stove
element. The whole deposition process was carried out inside a fumecupboard. This system
was used for several years, and it demonstrated that geometry could be used to direct the
emerging aerosol, so that when spraying at shallow angles the TiO2 could be kept out of the
grooves.202, 203
There were a number of problems with the TiO2 films and the deposition system. Firstly,
it took over an hour to deposit a 70 nm thick TiO2 film onto a 2”-diameter wafer. This
was not due to the low TPT flow rates, although the needle valve was being operated at its
limits to avoid a too high a flow of TPT from emerging from the nozzle, but because of the
cooling effect of the aerosol on the stainless steel block. After several seconds spraying, the
temperature of the block dropped by over 30◦ C, and it was necessary to wait a few minutes
before continuing spraying. This highlighted the second problem, which was that the heater
was very inefficient at transferring heat to the stainless steel block, and this excess heat made
it uncomfortable for the user. Thirdly, there was no way of controlling the relative humidity,
3.1 Introduction
61
and the relative humidity on rainy or overcast days (up to 65%) made spraying impossible
due to the formation of white TiO2 particulates in the film.
A replacement TiO2 system was to be designed and constructed by the author. In order for
the TiO2 thin films to exhibit the desired properties, listed above, the requirements for the
new system were determined as follows:
• Temperature: Significant fluctuations in the temperature at about 400◦ C could result
in the TiO2 film having a mixed amorphous-anatase phase. Naturally, this outcome is
undesirable, so a target of achieving a maximum variation of substrate temperature of
±10◦ C was set.
• Relative Humidity: Excess humidity will result in large TiO2 particulates sticking
to deposited film.202, 204 Therefore, a necessary feature of the system was to have
adjustable and repeatable humidity control. It was anticipated that mixtures of dry
nitrogen (N2 ) and wet nitrogen (N2 +H2 O) could be fed into the system in order to
control the relative humidity. A meter should display the current relative humidity in
the system.
• Deposition Time: To achieve accurate control of the film thickness, a deposition time
of about 5 − 10 min per wafer was decided upon. The film thickness would be judged
visibly.
• Deposition Area: As this project had commercial relevance, it was desirable that a 4”square wafer could be TiO2 -coated, although the research would typically be performed
on 2”-round and 5 × 5 cm-square wafers.
• Geometry Control: To prevent TiO2 from being deposited in the laser-scribed grooves,
film deposition was to occur on the very top surface only. Any TiO2 that entered
the grooves would inhibit electroless metal plating. The pressurized spray-system at
UNSW had successfully fulfilled this goal by spraying a TPT aerosol onto the wafers
at an angle of 10 − 20◦ .
• Substrate Heater: A dedicated substrate heater is required to efficiently transfer heat
into the substrate. The temperature should be accurately controlled by dedicated
electronics.
• Automation: The previous pressurized spray system required the user to manually
operate a spray-gun. In the new system it was deemed necessary that the user should
only need to load and unload the wafer from the system, the user’s hands remaining
free during film deposition.
• Safety: The system should be enclosed so that it can safely operate on a standard
laboratory bench. It also needs to have exhaust facilities. It was desirable to continue
62
3. TiO2 Thin Film Deposition Equipment
using TPT as the precursor as it is a safe, non-toxic liquid, and obviates the need for
expensive gas handling systems.
• Cost: There was a budget of A$10,000 for the new TiO2 deposition system.
Initially, a new system was designed using an ultrasonic atomisation nozzle. Figure 3.1 shows
the USD system in its final form, including the ultrasonic nozzle and generator, syringe
pump, cartridge heaters embedded in the stainless steel block, motorized sample stage, and
temperature controller. Some components have been omitted for clarity, including a nitrogen
heater, air-knife heater, motor speed controller, both the oxygen and humidity sensors, as
well as regulators and flow meters to accurately control gas flows.
The following section will describe the literature review performed to evaluate simple, flexible
and cost-effective techniques for depositing TiO2 films. Subsequently, the theory of ultrasonic
spraying will be discussed, and a thorough description of the necessary system components
will be presented.
3.2
Overview of TiO2 Thin Film Deposition Methods
Titanium dioxide has been deposited by many different techniques, including
• hydrolysis and pyrolysis,49, 60, 65–67, 71, 74, 78, 115, 148, 168, 177, 179, 193, 205–207
• pneumatic spraying,46, 208
• ultrasonic spraying,65, 106, 206, 209–212
• dip coating100, 109, 111, 156, 157, 200, 207, 213
• plasma enhanced chemical vapour deposition (PECVD),93, 97, 113, 121, 124, 134, 214–216
• atmospheric pressure chemical vapour deposition (APCVD),116, 130, 150, 204, 217–221
• metal organic chemical vapour deposition
(MOCVD),58, 71, 77, 92, 94, 96, 103, 105, 106, 108, 147, 155, 167, 170, 195, 196, 211, 220–227
• ultra-high vacuum chemical vapour deposition (UHV-CVD),228
• low pressure chemical vapour deposition (LPCVD),90, 91
• evaporation,31, 34, 73, 76, 119, 121, 137, 156, 161, 213, 229–231
• spin-on methods,89, 100, 112, 121, 157, 198, 200, 232, 233
• sputtering,119, 120, 145, 149, 154, 165, 234–236
air-knife
syringe pump
0.02 ml/min
hot N2
vacuum
rings
motor
3-way
valve
TPT
cartridge
heaters
ultrasonic
nozzle
syringe
Figure 3.1: TiO2 ultrasonic spray deposition system.
1.8 W
445qC
450qC
12 VDC
vacuum
line
thermo
-couple
switch (x2)
st. steel
block
relay
housing
TPT storage
bottle
ultrasonic
generator
PID
temperature
contoller
3.2 Overview of TiO2 Thin Film Deposition Methods
63
64
3. TiO2 Thin Film Deposition Equipment
• ion assisted deposition,72, 107, 140, 237, 238
• plasma anodisation,113
• reactive ion plating,121, 156, 213, 231
• laser ablation,132, 239
• filtered arc deposition,33
• atomic layer epitaxy,240 and
• screen-printing.114, 241
A primary consideration is that the growth morphology, crystalline structure and stoichiometry of TiO2 thin films are very sensitive to the deposition conditions.73, 110, 122, 237, 242 This
a disadvantage for many physical vapour deposition methods, such as evaporation, where a
large variation in the observed optical properties arise from only a small changes in the deposition conditions.107, 161, 164 Therefore, the need for stoichiometric TiO2 films with minimal
absorption suggested that a deposition method where the film stoichiometry is controlled by
a chemical reaction would enable more consistent results.
The chemical reaction of a TiO2 -precursor to form TiO2 can either proceed by hydrolysis
or pyrolysis. With hydrolysis systems, separate gas lines of nitrogen or argon are bubbled
through heated baths of a liquid TiO2 precursor and water. The two delivery lines are then
brought together close to the substrate where the reaction takes place. Pyrolysis systems
are similar, except that a water bath is not required as the TiO2 precursor decomposes
upon reaching the heated substrate. Both of these systems have the advantage of simplicity,
although they may be relatively inflexible, as tubing diameters have to be designed around
predicted flow rates.
To keep the deposition system as simple as possible, maximise throughput, and keep costs at
a minimum, systems with a vacuum chamber were not considered to be a viable option. This
excluded evaporation, sputtering, and the majority of CVD systems. However some experiments were performed with an APCVD system,204 owned by Eurosolare S.p.A. (Nettuno,
Italy). The APCVD system is designed around a belt furnace, and, as the name implies, the
depositions are performed at atmospheric pressure, so no vacuum is required. The system
is capable of depositing TiO2 onto 580 solar cells per hour,217 which corresponds to about a
5 MW yearly throughput for screen-printed solar cells.
Spin-on methods were not seriously considered, as the throughput of any such system would
be limited in a production environment. Screen-printing is commonly used in the PV industry for depositing metallic contacts, about 30 − 50 µm thick, to solar cells. Szlufcik et
al. demonstrated that screen-printing could also be used for depositing TiO2 thin films.114
As the thickness of the metallic contacts are about 500 times thicker than the TiO2 thin
3.3 Ultrasonic Spray Deposition
65
films it is not known how the thinner films behaved with regard to reproducibility, squeegee
wear, and thickness uniformity. Following the screen-printing of the organometallic ink, the
samples were fired in a three-step process of 30 min duration. The firing is a relatively slow
process as first the thick film needs to settle for 15 min to obtain a uniform film, with subsequent drying performed at 125◦ C for 5 min. The final crystallisation was performed in a belt
furnace at temperatures between 500◦ C and 900◦ C. Lengthy drying procedures are required
to remove the substantial amount of organic solvents added to the TiO2 precursor. This
was also necessary in the pneumatic spraying technique used by Kern and Tracy.46 Kern
and Tracy developed a system for production was developed that was capable of coating
4500 cells per hour (around 30 MW per year), based on batch processing. Each batch took
30 s to receive a coating, followed by three separate heating steps to remove organic groups
in the film. It has been noted by other researchers that the temperature required to crystallise an amorphous film is significantly greater than the temperature needed to grow such
a crystalline film.74 Therefore, to lower thermal the budget and processing costs it would
be desirable to deposit a polycrystalline TiO2 thin film in one step without subsequent heat
treatment steps.
3.3
Ultrasonic Spray Deposition
Several researchers have used USD for depositing TiO2 35, 65, 106, 206, 210, 212 and other thin
films.209, 211, 243 Blandenet et al. describes a method for depositing many different metallic oxides based on the pyrolysis of an aerosol.210 If an ultrasonic beam is focussed on the
surface of a liquid the vibrations result in the formation of on aerosol. The chemical to
be sprayed is contained in a glass container attached to a high frequency generator that
vibrates at 800 − 1000 kHz. The chemical precursor for TiO2 films was butyl orthotitanate
diluted in acetyl-acetone and butanol. Air or nitrogen is passed through the glass container,
transporting the aerosol close to the heated substrate, which is subsequently decomposed by
pyrolysis. Other researchers have also used commercially available ultrasonic nebulizers,65, 211
while more recently ultrasonic atomizing nozzles have been used.35, 58, 106, 212, 243 Versteeg et
al. used an ultrasonic nozzle to inject small quantities of a TiO2 precursor into a vacuum
chamber, maintained at a pressure of 0.1 − 1 Torr.106 It is stated that the low pressure chamber facilitates film uniformity over large areas. Liang implemented an ultrasonic nozzle as a
modified injector in an APCVD system.58 DeSisto and Henry deposited magnesium oxide
thin films by USD.243
The primary advantages of USD are that, firstly, there is a very narrow size distribution of the
droplets in the aerosol. Secondly, by altering this droplet size, the droplet→solid reaction
mechanism can also be changed. This is also dependent on gas flows and the nozzle to
substrate distance. For example, smaller droplets will have already decomposed by pyrolysis
and will strike the wafer as a solid, while larger droplets will not have had time to vaporise
66
3. TiO2 Thin Film Deposition Equipment
completely. Alternately, the spraying conditions can be adjusted so that the majority of the
aerosol is a gas when it contacts the wafer, resulting in a process similar to CVD.209, 210, 244
Additional advantages of USD that were potentially relevant for this work, included:
i) The potential flexibility of the system and excellent parameter control. This included
low flow rates, variation of spray angle, variation of power to atomize liquids of different
viscosities and at different flow rates, and control over the shape of the spray plume
using air-jets.245, 246
ii) The possibility of spraying at a shallow angle to keep the TiO2 out of the grooves,
scribed on the front side of a buried-contact solar cell. In this manner, the simple
geometry of the system prevents the spray from entering the grooves.20, 202, 203 With
other deposition systems a lot more care would need be taken to keep the grooves
free of TiO2 . This is especially true for the majority CVD systems which provide a
conformal coating of the surface.
iii) Since the distribution of the droplet sizes can be varied by choosing the excitation
frequency (see Section 3.4), it was postulated that larger droplet sizes (greater than
the groove width) would not enter the grooves, but would still coat the front surface
of the wafer.247
iv) It is possible to pulse-feed the liquid into the nozzle, enabling very low flow rates to
be achieved.106, 245
v) It is possible to shape the spray plume by directing jets of gas across it. This can be
used for focussing the spray plume or to spread it out.245
vi) As decomposition by hydrolysis or pyrolysis is a chemical process, this would ensure that stoichiometric TiO2 was deposited. The deposition of TiO2 by physical
vapour deposition methods, evaporation and sputtering for example, can result nonstoichiometric TiOx films. TiOx films exhibit very different properties including increased absorption and a metallic or semiconducting behaviour.
vii) No vacuum chamber would be required.
viii) The nozzles do not clog or wear out.245
ix) The system would be easy to clean by flushing with a solvent,248 such as isopropyl
alcohol.
x) Since overspraying is avoided, material consumption can be reduced by up to 80%.245
As well as avoiding waste, it was anticipated that this would reduce the build-up of
TiO2 powder in the system.
3.4 Theory of Ultrasonic Spray Deposition
67
xi) Spraying may be suitable for production if accompanied by a suitable pump, with a
continuous flow, such as a gear pump or pressurized feed, and either multiple nozzles
or a single traversing nozzle.46
xii) An USD system can be used to deposit a variety of films, including AR coatings and
SiO2 passivation layers. Additionally, it is possible to add other dopant liquids to the
main precursor.65
xiii) TiO2 films deposited by spray pyrolysis at 450◦ C are known to produce nearly dense,
optically transparent, anatase films.177, 206
The use of an ultrasonic spray nozzle appeared to offer the best flexibility and potential
for the new system. Out of the five surveyed models available on the US and Australian
markets, only one (Sono-Tek Corp., U.S.A.) had been previously used in published scientific
literature. This brand also had the advantage of being able to operate nozzles with a different
atomisation frequency from the one ultrasonic generator.
3.4
Theory of Ultrasonic Spray Deposition
Blandenet et al. provide a good description of USD-deposited films produced by an
aerosol.210 In the case of most metal oxide depositions, the aerosol is a colloidal dispersion of a organometallic liquid in a carrier gas. The liquid is atomized in a glass vessel with
a transducer operating in the kHz to MHz frequency range. The carrier gas transports the
aerosol close to the heated substrate, where it is decomposed by pyrolysis. Alternately, the
aerosol can react with water vapour to complete the reaction by hydrolysis. In such systems,
unlike pneumatic spraying, the gas flow rate is independent of the aerosol flow rate.
In an ultrasonic nozzle, two piezoelectric transducers are contained within the nozzle, as
shown in the cut-away view in Figure 3.2. This sets up a standing wave and both ends
of the nozzle become anti-nodes. The junction between the two transducers is a node, a
point of zero amplitude, because the transducers have their polarities opposed. This causes
the transducers to either expand or contract against each other. The standing waves and
anti-nodes are illustrated in Figure 3.3.248
As the TPT passes through the nozzle, the ultrasonic vibrations (48 − 120 kHz) form an
aerosol. The mean diameter of the droplets produced depend upon the excitation frequency
f , the surface tension σ, and the density of the liquid ρ being atomized210, 245
8πσ
3
d =
k
ρf 2
8πσ
3
∼
,
(3.1)
0.34
=
ρf 2
68
3. TiO2 Thin Film Deposition Equipment
Figure 3.2: Cut-away view of Sono-Tek ultrasonic nozzle.248
Figure 3.3: Standing waves inside ultrasonic nozzle.248
where k is a constant, and its value of 0.34 determined by Lang.249 This relationship is
plotted for a range of frequencies in Figure 3.4 for water (ρ = 1 g/cm3 and σ = 0.073 N/m)
and isopropyl alcohol (ρ = 0.80 g/cm3 and σ = 0.0217 N/m) at temperatures of 20 − 25◦ C.245
The intercepts on the graph indicate the median droplet diameters for water at the excitation
frequencies used in this work, 3.1µm at 48kHz and 1.7 µm at 120 kHz. Although the density
of TPT is similar to that of water at 0.955 g/cm3 ,250 no data on the surface tension could be
found. Therefore, the size of the TPT aerosol droplets could not be accurately estimated.
Although small droplet sizes are achievable with pneumatic spraying, the main advantage
of USD is the narrow size distribution of the droplets.210 Figure 3.5 shows the distribution
of water droplet sizes for the range of excitation frequencies 25 − 120 kHz.245 The number
median diameter defines the 50% value of drop size, meaning that one half of the drops
Median Droplet Diameter, d (µm)
3.4 Theory of Ultrasonic Spray Deposition
10
69
3
Water
Isopropyl Alcohol
o
10
2
10
1
10
0
(at T=20-25 C)
1
10
100
Excitation Frequency, f (kHz)
1000
Figure 3.4: Median droplet diameter as a function of excitation frequency
for water and isopropyl alcohol.
have diameters larger than this, while the remaining half are smaller. The number mean is
obtained by summing the diameters of each drop together and then dividing by the number of
drops in the sample. The weight mean diameter is calculated by taking the adding together
the volume of each drop in a spray sample, taking the cube root of this sum, and dividing by
the number of drops. The Sauter mean diameter measures the effective ratio of drop volume
to surface area and is primarily used for combustion applications.
Other factors affecting the operation of ultrasonic spraying are liquid viscosity, solids content, and the miscibility of components.245 Although there are no hard-and-fast rules for
determining the suitability of a liquid for ultrasonic spraying, there are several guidelines.
Generally, the higher the viscosity or solids-content of a liquid, the lower the maximum flow
rate. Liquids with a viscosity of up to 500 mPa s (or 50 centipoise) can be readily atomized,248 where water and TPT have a viscosity of 1 mPa s and 3.5 mPa s,251 respectively, at
20◦ C. Liquids containing long polymer chains can interfere with the atomization process
and may inhibit the formation of discrete droplets. It has been found that liquids with a
solids content of up to 40% can be successfully atomized, however the particle size should
be less than one-tenth of the droplet diameter.245 The maximum flow rate Fmax has been
empirically determined to be
Fmax = k
A
f 2/3
(3.2)
where the constant k has the value k ≈ 28500 l Hz2/3 /(s m2 ), and A is the atomizing surface
area. Figure 3.6 plots Equation 3.2 for the range of ultrasonic nozzles produced by Sono-Tek.
The specific flow rate r is the maximum flow rate Fmax divided by the atomizing area A.
The specific flow rate for the 120 kHz nozzle, not shown in Figure 3.6, is 11 l s−1 m2 . More
importantly for research applications though, is the minimum flow rate. The minimum flow
70
3. TiO2 Thin Film Deposition Equipment
Figure 3.5: Drop size distribution for ultrasonic nozzles operating at various frequencies (from Berger 245 ).
rate is typically about 20% of the maximum flow rate, as below this rate the liquid emerges
from the nozzle in a non-uniform manner and the spray plume becomes distorted.245
3.5
3.5.1
TPT: The TiO2 Precursor
Why TPT?
Titanium isopropoxide, also known as tetraisopropyl titanate (TPT), was chosen as the
TiO2 precursor. Apart from being the most commonly used precursor in the literature,
this chemical is also used on solar cell production lines. The use of any precursor can
result in contamination of the TiO2 film with by-products of the precursor. Metal-organic
precursors, such as TPT, often result in carbon contamination due to the residue of the
organic binders.89–93, 95, 96, 98 This is typically in the order of a 1 − 2 at. % for films deposited
at low temperatures. However, several researchers have observed that at higher deposition
or annealing temperatures (400 − 600◦ C) the carbonate species can decompose, resulting in
the removal of hydrocarbon fragments.64, 99, 252 Chen et al. noted that the decomposition
of TPT to TiO2 is a very clean process in which carbon is not significantly trapped either
3.5 TPT: The TiO2 Precursor
71
Figure 3.6: Specific flow rates as a function of excitation frequency (from
Berger.245 )
within the crystalline film or at the grain boundaries.135 Titanium tetrachloride (TiCl4 ) is
another common TiO2 precursor, which results in chlorine contamination.91, 93, 101 In addition
corrosive by-products (HCl) are produced in the reaction.66, 91 In one instance the chlorine
contamination was so high that it prevented crystallisation of the film and poor film adhesion
onto the substrate resulted.101 In any case, the level of contamination observed with TPT
is much smaller than with TiCl4 .97
Further advantages of TPT are that:
i) It is non-corrosive124 and non-toxic, listed as being a mild skin and eye irritant.250
ii) It can be highly purified and has an almost indefinite shelf-life.124
iii) As a liquid, it is relatively easy to handle,97 although it should not be exposed to a
naked flame.250 The fact that it is not dangerous makes the addition of TPT to a
CVD system a relatively easy and safe task, as no special gas handling equipment is
required.97
iv) It is very volatile at low temperatures (50◦ C), which means that it will be readily
decomposed.97
v) It can be ultrasonically sprayed directly without dilution.49
vi) It has been observed that there is enough oxygen in the TPT molecule that the reaction
to form TiO2 can proceed without additional oxygen in the ambient.94, 99, 101, 124, 221
72
3. TiO2 Thin Film Deposition Equipment
3.5.2
The TPT→TiO2 Reaction
The mechanism of the reaction of TPT aerosol to form TiO2 depends on the droplet size.
If the majority of the aerosol is a gas when it contacts the substrate then the deposition
conditions are similar to a CVD process.210 Aerosols with larger droplet sizes will not have
had time to vaporise completely, while smaller droplets will be decomposed by pyrolysis before striking the substrate. Since the droplet size distribution is small in ultrasonic spraying,
the same decomposition conditions will apply to nearly all of the aerosol. The deposition
conditions vary also with temperature, as the diagram for pyrolysis in Figure 3.7 shows,
however other factors such as flow rates and geometry also play a role. In process A, the
decomposition rate at very low temperatures (< 100◦ C) will be slower than the deposition
rate and a liquid film will form on the surface. This layer will slowly dry, however it will still
contain many organics and probably cracks.115 In process B, the droplets evaporate before
reaching the surface and a precipitate strikes the substrate where decomposition occurs. In
process C, the solid precipitate melts and vaporises (or sublimes) and the vapour diffuses
to the substrate and undergoes a reaction there. This corresponds to true CVD. At higher
temperatures (process D), the vapour undergoes a chemical reaction before impinging upon
the substrate. The droplets in the aerosol have formed solid particles that stick to the surface
of the substrate. The product information sheet on DuPont’s “TYZOR” TPT notes that
TPT pyrolyses at temperatures greater than 350◦ C, and that films deposited in this method
at 500 − 600◦ C are considerably harder than films produced by hydrolysis and contain no
organic residue.252
VXEVWUDWH
ILQHO\GLYLGHG
VROLGSURGXFW
YDSRXU
SUHFLSLWDWH
{
{
{
{
$
%
&
'
/RZWHPSHUDWXUH
GURSOHWV
+LJKWHPSHUDWXUH
Figure 3.7: Pyrolysis decomposition as a function of temperature (adapted
from Vigui`e and Spitz 244 ).
The decomposition of TPT (by pyrolysis) to form TiO2 proceeds as follows:253
Ti(OC3 H7 )4 −→ TiO2 + 2C3 H7 (OH) + olefins ,
(3.3)
3.5 TPT: The TiO2 Precursor
73
For the reaction of TPT to form TiO2 by hydrolysis, the reaction product will be strongly
dependent on the amount of water vapour present in the system, as well as the substrate
temperature. Wong et al. found that for APCVD-deposited TiO2 , there is not enough
oxygen to form TiO2 and the TPT remains unreacted on the wafer when less than 30%
relative humidity exists.204 The reason why the TPT did not react by pyrolysis in this
case (Tdep = 250◦ C) remains unclear. When spraying in an environment with greater than
45% relative humidity, the TPT reacts before long before reaching the wafer and a powdery
white deposit results.46, 202, 203 Wong et al. mentioned that laboratory scale CVD experiments
showed it was possible to deposit films with 15% thickness uniformity at humidities above
45%.204 In general, the recommended range in relative humidity is from 30% to 45%, which
results in a transparent homogeneous film being deposited.202, 203 In this case, the reaction
rate is limited by the amount of TPT reaching the wafer,205 which decomposes by hydrolysis
onto the heated wafer, according to the two-step hydrolysis/degradation reaction in Equation
3.4.210, 253 The “Tyzor” TPT product information sheet (Du Pont, Inc.) contains a complete
explanation of all the steps involved in the hydrolysis reaction.252 In the information it is
also noted that whether hydrous titanium dioxide (TiO2 ·H2 O) or TiO2 itself is formed as
the final product is dependent on the temperature and the rate at which water is added to
the system.
Ti(OC3 H7 )4 + 2H2 O −→ Ti(OH)4 + 4C3 H7 (OH)
Ti(OH)4 + 4C3 H7 (OH) −→ TiO2 + 4CH3 CH(OH)CH3
(3.4)
In Equation 3.4, one mole of TPT reacts with two moles of water vapour to form one mole
of TiO2 and 4 moles of by-product (mostly 2-propanol). Practically however, the amount of
water vapour will depend on the geometry of the reaction zone, and gas flow and exhaust
rates.217 TiO2 films deposited in an APCVD system required more than four times this
amount of water vapour for the above reaction to occur.204 As the substrate temperature is
increased, the deposition chamber, or environment, will heat up and the relative humidity
will decrease. However this is not strictly of great concern, as the number of moles of water
vapour going into the system will remain constant, and this is what is required.
The amount of TPT and water vapour required for the reaction to occur, as given in Equation
3.4, can be calculated. The molar mass mm of TiO2 is 79.9 g/mol. The number of moles M
of TiO2 to cover a 25 cm2 surface area with a 70 nm thick film (volume, ν = 175 × 10−6 cm3 )
is given by the following equation, where the density ρ of rutile is 4.26 g/cm3
ρν
mm
= 9.3 × 10−6 moles TiO2
M =
(3.5)
This means we require 9.3 × 10−6 mol of TPT and 18.6 × 10−6 mol of H2 O for the reaction in Equation 3.4 to occur. The molar mass of TPT is 284.26 g/mol and its density is
74
3. TiO2 Thin Film Deposition Equipment
0.955 g/cm3 .250 Rearranging Equation 3.5 we obtain
M mm
ρ
= 2.8 µl of TPT to cover the 25 cm2 area with a 70 nm thick film.
ν =
(3.6)
The amount of water vapour required has been estimated at being four times greater again
due to unreacted water vapour being extracted out the exhaust.204 However, in the system
constructed for this work, many times this amount (approximately 0.5 − 1 ml) of TPT is
needed to be sprayed as the film density is lower than that of single crystal rutile and not all
the TPT sprayed is deposited within this area. This is especially true for shallow deposition
angles, where the majority of the TPT passes across the surface of the wafer. Based on the
requirement of 1 ml of TPT being required for each 5 cm × 5 cm wafer we can expect that at
least 4 ml of water vapour would be required.
3.6
3.6.1
Design of Ultrasonic Spray Deposition System
Selection of Ultrasonic Nozzle
Two ultrasonic atomizing nozzles (UAN) were purchased from Sono-Tek Corporation (Milton, N.Y., U.S.A.). There were several reasons for selecting an ultrasonic nozzle, rather than
an ultrasonic nebulizer. Firstly, with a nozzle the spray could be directed at different angles.
Secondly, it was believed that a spray system where the reaction mechanism could be altered
with the drop size could be tuned to behave similarly to a belt-furnace APCVD system204
as used in the PV industry. Thirdly, the potential of a solely spray-based processing system
for fabricating solar cells was attractive. As well AR coating deposition, spray systems have
been used for depositing passivation layers for solar cells.208
The two nozzles operated at frequencies of 48 kHz and 120 kHz, respectively. One motivating
factor for nozzles manufactured by Sono-Tek was that only one broadband ultrasonic generator (BUG) was required to operate any of their nozzles. In contrast, other manufacturers
require that a separate BUG be purchased for each nozzle. The 48 kHz nozzle was selected in
order to evaluate the system performance at high flow rates, and also to determine whether
large droplets would be prevented from entering the 20 − 30 µm wide grooves on the front
surface of the wafer. The 120 kHz nozzle was selected because it was able to tolerate the
lowest flow rates. Later on in the project the 48 kHz nozzle was exchanged for a newly
released nozzle called the MicroSpray. This nozzle used a 120 kHz atomizing frequency as
well, however it was fitted with a micro-bore tube which significantly reduced the inside
diameter of the nozzle. This enabled extremely low flow rates to be tolerated, while still
achieving acceptable spray plumes. Figure 3.8 illustrates the 48 kHz standard nozzle and
the 120 kHz MicroSpray nozzle purchased from Sono-Tek. The 120 kHz standard nozzle is
3.6 Design of Ultrasonic Spray Deposition System
75
similar in appearance to the MicroSpray nozzle, except that it possessed a conical tip (see
Figure 3.8).
Figure 3.8: The 48 kHz (left) and 120 kHz (right) ultrasonic nozzles purchased from Sono-Tek Corp (adapted from Sono-Tek Corp.246 ).
The front and rear horns of the nozzles are manufactured from titanium alloy, Ti-6Al-4V,
while the nozzle housing and liquid inlet are made from 316 stainless steel. The titanium alloy
is chosen for is high mechanical strength, good acoustical properties and excellent chemical
resistance.245 Most chemicals, except hydrofluoric and sulphuric acid and strong oxidizing
agents, are compatible with these spray nozzles. Table 3.1 provides technical details on the
Sono-Tek MicroSpray ultrasonic atomizing nozzle as well as the standard 120 kHz and 48 kHz
nozzles. The dimensions in Table 3.1 correspond to the schematic of the nozzle shown in
Figure 3.9.
Figure 3.9: Dimensions of the Sono-Tek ultrasonic atomizing nozzles
that correspond to the values given in Table 3.1 (adapted from Sono-Tek
Corp.246 ).
76
3. TiO2 Thin Film Deposition Equipment
Table 3.1: Sono-Tek ultrasonic atomization nozzle data (from Sono-Tek
Corp.246 ). Note that dimensions are not exact as they are converted
from inches. So few experiments were performed with the 48 kHz nozzle
that some parameters are unknown and are labelled in the table as not
applicable (n/a).
Nozzle Type
Microspray
UAN
UAN
UAN
Atomisation frequency (kHz)
Orifice diameter (mm)
Maximum flow rate (ml/min)
Recommended minimum flow rate (ml/min)
Actual minimum flow rate (ml/min)
Atomization power at minimum flow rate (W)
Median drop diameter (µm)
Weight (g)
Dimensions: A1 (mm)
B1 (mm)
A2 (mm)
B3 (mm)
C (mm)
D (mm)
E (mm)
F (mm)
120
0.38
2.4
0.48
0.02
1.1 − 2.0
18
120
1.32
21
4.2
0.35
1.1 − 2.0
18
196
5.8
11.2
−
−
25.4
36.6
12.7
8.6
48
2.18
72
14.4
n/a
n/a
38
309
11.7
26.9
−
−
37.3
38.1
42.9
−
Parameter
3.6.2
−
−
2.5
11.5
29.3
36.6
12.7
10
Ultrasonic Nozzle Performance
It was quickly determined that the 48 kHz nozzle would be unsuitable for our application.
This was due to the extremely high flow rates, which caused a much thicker film than desired
to be deposited in less than one second and was difficult to control. The 120 kHz proved
to be better with spraying times in the order of 1 min for a 70 nm thick film at the lowest
flow rates. Both of these nozzles possessed a conical tip, as shown in the left hand side of
Figure 3.9, which is designed to spread the spray plume out over several inches. This tip was
initially favoured as it was believed that this coverage would be sufficient to coat a 2” wafer.
However, to achieve longer deposition times, the lowest flow rates had to be used. Although a
fine mist often emerged from the nozzle the low volumes being pumped were not sufficient to
create a plume of several inches in diameter. Occasionally, the nozzle would also “stall” and
spit larger droplets of liquid onto the substrate. This resulted in 1 − 30 µm diameter TiO2
particulates being incorporated into the film. These defects reduced the chemical resistance
of the films.
To improve the film uniformity, reduce particulate incorporation, and to achieve lower flow
3.6 Design of Ultrasonic Spray Deposition System
77
and film deposition rates the 48 kHz nozzle was exchanged for a 120 kHz MicroSpray nozzle.
This nozzle had a much narrower bore enabling very low flow rates could be used (down
to 0.02 ml/min). Additionally, the tapered tip (see tip on right-hand side of Figure 3.9)
resulted in a much finer line of spray. Achieving a consistent spray was aided by the use of
the small-bore 1 ml gas-tight syringes (see Section 3.6.3). This setup resulted in a deposition
time of 1 − 2 min and the best performance of all configurations tried for the spray system.
The power required from the broadband ultrasonic generator (BUG) to atomize the TPT
with the 120 kHz nozzles was in the order of 1.5 W.
3.6.3
Liquid Delivery
Pump
Selecting the correct pump is a crucial step in designing the spray deposition system. This
is because the ultrasonic nozzle will atomize any liquid that reaches the atomizing surface.
A syringe pump (Yale YA-12, Kent Scientific, U.S.A.) was chosen primarily because it can
pump continuously in the µl/min range and pulsed volumes of nanolitres can be achieved.245
The upper limit on the pumping rate and the dose is limited by the maximum syringe size,
in this case 60 ml. The pumping action is very smooth, which is important for achieving
good spraying. The pump is programmable and can be controlled via TTL signals or an
RS-232 port. In this work it was controlled via the front panel only. This enabled a flow
rate, typically 0.02 − 1.00 ml, to be set and the pumped volume to be monitored on the
display. It is also possible to inject or withdraw with the pump. The ability to withdraw
liquid was used in conjunction with a three-way valve in order to refill the smallest (1 ml)
syringes (refer Figure 3.11).
Figure 3.10: The Yale YA-12 syringe pump.
78
3. TiO2 Thin Film Deposition Equipment
Syringes, Tubing, Valves and Bottles
Initially experiments were performed with disposable 5 ml and 10 ml plastic syringes with
rubber-tipped plungers. By observing the spray plume it was noticed that the plunger was
periodically sticking as it travelled down the syringe barrel. It was discovered that the
ability of a syringe pump to dose at consistently low flow rates is influenced by the diameter
of the syringe. Therefore, several high-quality 1 ml and 2.5 ml gas-tight syringes equipped
with Teflon plungers were purchased from a chromatography supplier (SGE, Melbourne,
Australia). These performed excellently and could be flushed with dilute HF to remove any
build-up of TiO2 .
All the syringes used were of the Luer-lock variety to ensure an air-tight and leak-proof
connection. A variety of chemically resistant plastic (HDPE) fittings were purchased (Chromalytic Technology, Victoria) to adapt from Luer-lock to barbed fittings for 1/8” outer
diameter (1/16” inner diameter) tubing. The 1/8” plastic tubing (LDPE) then connected
directly to the 1/8” Swagelok liquid inlet fitting on the Sono-Tek nozzle.
As mentioned previously, a Teflon-lined gas-tight three-way valve (SGE, Australia) was later
installed to permit withdrawal of new TPT precursor from a 100 ml screw-top chemical
bottle. This was convenient for the 1 ml syringes since coating a 2”diameter wafer with a
70 nm thick TiO2 typically required 0.5 ml of TPT. The gas-tight syringes were able to screw
directly into the valve, however it was necessary to purchase Kalrez fittings (SGE, Australia)
to connect the 1/8” tubing.
Figure 3.11 indicates how the Schott chemical bottles were modified to contain TPT. Initially
a hole was drilled in plastic lid and a thread tapped into the hole. A female Luer adapter
was screwed into the lid, sealed with a Viton o-ring, and the 1/8” tubing extended down into
the bottle. A Teflon solvent filter (SGE, Australia) was pressed onto the end of the tubing,
and this rested about 5 mm above the bottom of the bottle. This was to remove as many
particulates as possible from the TPT. Particulates can slowly form due to the bottle being
opened and closed, and also if it is not totally air-tight.
3.6.4
Substrate Heater
The previous substrate heater for the pneumatic spray system at UNSW consisted of a stainless steel block that was placed on a 2 kW stove element.203 This was less than ideal as the
majority of heat generated did not pass into the block. Therefore, a new block was designed.
Stainless steel was still used as it was anticipated that the block would need to withstand
temperatures of 500◦ C or more. Other materials considered included copper, graphite, nickel,
molybdenum and titanium. Copper is an undesirable material for semiconductor devices due
to the speed that which it can diffuse through silicon. The remainder of the materials were
3.6 Design of Ultrasonic Spray Deposition System
(QG
FDS
79
2'
/'3(WXELQJ
0DOH/XHUWR
EDUEDGDSWHU
)HPDOH/XHUILWWLQJ
VFUHZHGLQWROLGZLWK
9LWRQIOXRULQDWHG
UXEEHURULQJ
2'
/'3(WXELQJ
6ROYHQW
)LOWHU
Figure 3.11: The creation of a filtered TPT reservoir from a chemical
bottle.
ruled out because of expense. Therefore, a 150 mm×150 mm×20 mm stainless steel block
was milled out for use as a substrate heater. Figure 3.12 shows the workshop drawings of
the block, including precisely milled holes for the six heater cartridges, thermocouple and
vacuum line.
Heater cartridges were selected as they would result in the best power to
heat conversion. The heater cartridges chosen were a split design (Dalton Electric Co., MA,
U.S.A.) that expand upon heating to ensure good thermal contact to the block (see Figure
3.13). This avoided the use of a copper thermally-conducting paste. The power rating of
each cartridge was 250 W and could be run off mains power (240 VAC . The cartridges were
custom designed so that a 20 mm length near the leads was not embedded into the block.
This cool-zone was important for keeping the shielding around the leads from burning or
melting and possibly shorting out.
Double layer fibre-glass/ceramic sheets (6 mm thick each) were placed on five sides of the
block, and were contained by a stainless steel box with 1 mm thick walls. The insulation
was designed to prevent too much heat from being transmitted downwards, as this could
warp the rails of the driving mechanism below. A 3 mm diameter K-type thermocouple was
inserted into the block. The tip of the thermocouple was located underneath the centre of
the wafer. The output from the thermocouple was fed into a BTC-9090 PID temperature
controller. The output of the PID controller to the six heater cartridges was via a 240 VAC
10 A relay. As the heater cartridges could draw a maximum of 1.5 kW there was no risk of
80
3. TiO2 Thin Film Deposition Equipment
3
BACK
VIEW
Hole for thermocouple 3mm
diameter, 70mm deep
150
5
20
75
3
25
Vacuum
channels:
1mm wide,
1mm deep
35
45
15
3mm diam. hole
for thermocouple
75
150
TOP
VIEW
5
3mm diam.
vacuum line
10
1/8” NPT
thread
25
50
FRONT
VIEW
50
25
50
3
6x holes for heater
cartridge = 0.377”
10
0.377”
Figure 3.12: Schematic diagram of the stainless steel heater block.
20
3.6 Design of Ultrasonic Spray Deposition System
81
Figure 3.13: Six of these 6” long 250 W Dalton Watt-Flex heater cartridges were embedded in the stainless steel block to ensure maximum
heat transfer.254
blowing the fuse on the output side of PID controller. The block took about 15 min to reach
450◦ C from room temperature, and typically temperature fluctuations while spraying were
not more than 5◦ C.
3.6.5
Motorized Stage
A motorized translation stage was designed so that the substrate could pass back-and-forth
beneath the spraying zone. The 12 VDC motor (RS Components, Sydney) had a continuous
torque rating of 300 mNm and a maximum torque rating of 600 mNm. At 12 VDC the motor
speed was 220 rpm. The motor was directly connected to a double-start threaded spindle
with a 2 mm thread pitch. This spindle passed through a Teflon nut that was mounted on a
plate connected to the underside of the heater block. The significant mass of the heater block
(about 6 kg) was supported by two 12 mm diameter stainless steel rails. These 50 cm long
rails were machined precisely to accommodate four linear bearings. Once correctly aligned,
the block slid effortlessly along the rails. Thus, by applying either a positive or negative
voltage to the motor the spindle turned and moved the block forwards or backwards. At
220 rpm the block travelled at a speed of 7 mm/s.
As it was necessary to keep the door to the spray chamber shut during depositions (see
Section 3.6.7), a simple circuit using a double-pole change-over (DPCO) 12 VDC relay was
implemented in order to reverse the direction of the stage. The relay has two pairs of
inputs. The first pair consisted of +12 VDC and -12 VDC , while the second had the polarity
switched. Two sealed and chemically resistant momentary push-button micro-switches (RS
Components, Sydney) were used to trigger the state of the relay. Pressing one switch caused
the relay to “change-over” from its first input to its second input pair, while pressing the
second switch caused the relay to go back to its initial state. Thus, the stage started moving
in one direction (the direction it was last travelling) until reaching the end of the rails
upon which the microswitch was depressed, and the stage changed direction. A fuse was
also included in this simple circuit to prevent the motor from burning out. A motor speed
controller was also added to the system to enable slower translation of the stage, however
this was rarely used. A 2 A 12 VDC power supply was sufficient to power all the electrics.
Finally, in order to keep the rails free from TiO2 dust, concertina-style rubber boots were
fitted over the rails. These boots could be compressed to about 25% of their standard length.
82
3.6.6
3. TiO2 Thin Film Deposition Equipment
Spray Shaping
Spray shaping and directional control was necessary for several reasons. In early experiments
it was found that when the nozzle was placed directly above the substrate heater the fine,
low-velocity (about 10 cm/s) mist did not fall on the wafer. This was because of hot air
rising from the 450◦ C block and carrying the spray droplets away with it. Experiments were
performed with the two “air shrouds” that were supplied with the nozzles (Sono-Tek Corp.).
This enabled the spray to reach the heated substrate, however there were many particulates
in the film and the thickness uniformity was very poor.
After discussing our requirements with Sono-Tek Corp., a loan of a “vertical spray assembly”
was arranged. The vertical spray assembly, depicted in Figure 3.14, is designed to produce
wide spray patterns. The two streams of gas are slightly off-centre, resulting in the shearing
of spray plume.245 Wide spray patterns were able to be generated with the vertical spray
assembly, however these were far from uniform. Additionally, whatever nozzle-substrate
distance was used resulted in the incorporation of many particulates into the film. It is
believed that the TiO2 particulates arise from droplets reacting with remnant humidity in
the air, entrained into the nitrogen gas stream. Since the nozzle is directly above the wafer
any particulates formed will fall onto the wafer and be incorporated into the film.
As a solution, an “air-knife”, sometimes also called an air-guide, was purchased (Exair Corp.,
U.S.A.). The air-knife was 6” long and consists of two halves of an aluminium casing bolted
together. There is an 1/4” NPT fitting at one end for the nitrogen inlet. At the front there
is a small slit running almost the whole length of the air-knife, which creates a high-velocity
sheet or curtain of nitrogen. The slit height is set by a plastic shim inside the air-knife. It
was found that by intersecting the emerging spray plume from the nozzle with a sheet of
nitrogen from the air-knife enabled the deposition of a visually acceptable film on the wafer.
As the 6” wide curtain cooled the substrate significantly a new shim was inserted, which
had created a slit with 4 mm width and 0.02” height. This reduced the amount of cooling
of the block significantly.
3.6.7
Miscellaneous Equipment
Relative Humidity Sensor
As discussed previously in Section 3.5.2, the reaction of TPT to form TiO2 is extremely
sensitive to the relative humidity. Relative humidity RH is defined as the partial pressure p
of water vapour in air divided by the vapour pressure of water ps at the same temperature.
To be able to monitor the relative humidity in the spray system, a sensor and digital meter
were purchased (Elan Technical Corp., CT, U.S.A.).
3.6 Design of Ultrasonic Spray Deposition System
83
Figure 3.14: Sono-Tek’s vertical spray assembly, designed for generating
wide spray patterns (from Sono-Tek Corp.246 ).
Oxygen Concentration Sensor
An oxygen sensor was added to the system because it was anticipated that spray depositions
with TPT diluted in a solvent, such as isopropanol, would be performed. Although the
volumes of solvents sprayed would be less than 1 ml, ensuring that the oxygen concentration
was low would inhibit the combustion of the solvent. Therefore an oxygen sensor (Electrovac
GmbH, Austria) was added to the system. As shown in Figure 3.15(a), when a voltage is
applied across the zirconia electrolyte cell, oxygen is pumped through the cell because oxygen
ions carry the current through the cell. By attaching a cap with a pinhole on the cathode
side of the cell and increasing the voltage, the current becomes saturated due to limited
transfer of oxygen ions to the cathode. This current is proportional to the ambient oxygen
concentration.255 The advantages of this sensor included having a linear output signal, no
cross-sensitivities to other gases, long life, and a very low temperature dependence of the
84
3. TiO2 Thin Film Deposition Equipment
signal. Due to a heater inside the package the sensor required the application of 2 V while
warming up (30 s) and then 4 V for constant operation. Figure 3.15(b) shows an image of
the oxygen sensor. Designing this power supply and installation of the sensor and a suitable
analogue meter was completed by a German practicum student, Manfred Fahr.
Figure 3.15: (a) Schematic indicating oxygen sensor operation, and (b)
Image of the oxygen sensor (adapted from Electrovac GmbH 255 ).
Spray Chamber
The spray chamber was fabricated from steel “speed-frame” and clear perspex sheeting,
with internal dimensions of 70 ×70 ×70 cm. The entire front side of the chamber was hinged
along one edge and could be opened to provide full access to the chamber. A series of 25 mm
diameter holes were drilled in the door and covered with a sliding plate. This was in order
to allow the entry of ambient air after spraying was completed. The top of the chamber was
connected to a 6” diameter exhaust line. The exhaust flow could be controlled via a large
butterfly valve.
Nitrogen Heater
Due to the relatively high gas flow rates used (see Section 3.6.8) significant cooling of the
block occurred. With the block temperature set at 450◦ C the top surface of the wafer
was measured to be about 330◦ C while spraying. In order to reduce the amount of cooling
occurring it was decided to control the temperature of the nitrogen gas stream. The nitrogen
heater used a heater cartridge that was inserted inside a length of 3/8” stainless steel tubing.
It was designed to operate upright so that if the nitrogen gas flow stopped the hot air for
around the heater would rise up to the top of the tube where a thermocouple was placed.
The system was designed to operate at temperatures up to 400◦ C, being controlled by a
BTC-2020 PID controller (ECE Fast, Melbourne).
3.6 Design of Ultrasonic Spray Deposition System
85
Air-Knife Heater
Although the nitrogen heater worked well, a with heated lines the temperature of the emerging gas had dropped only 30◦ C after passing through a 1 m length of tubing (with the heater
set to 200◦ C). However, when connected to the aluminium air knife, which acted as a very
efficient heat sink, the emerging gas temperature was only a few degrees above ambient
temperature. Therefore a 240 VAC 100 W heater pad was obtained (RS Components, Australia) that was both flexible and had a high-temperature adhesive applied to one side. This
was carefully folded around the air-knife and firmly held in place. This permitted heating
(not-controllable) of the air-knife up to temperatures of 190◦ C, which enabled the heated
nitrogen gas to reach the substrate.
3.6.8
Operation of the TiO2 Spray System
In its final form, the spray system incorporated all of the above componentry, as well as
regulators and flow meters to accurately control gas flows (as shown in Figure 3.1). All the
films deposited using this deposition system were formed by spray pyrolysis, due to the very
low relative humidity. The diagram in Figure 3.16 indicates the necessary steps to set-up
and perform depositions with the TiO2 spray system.
Table 3.2 in Section 3.7 lists the various deposition parameters of the system. The gas flow
rates listed were optimised in order to extend the film coverage in the forward direction,
while trying to reduce the amount of cooling of the substrate heater. At lower flow rates, the
film was not deposited onto the wafer due to heat arising from the 450◦ C block, while little
benefit was achieved by using higher flow rates. A typical atomisation power of 1.5 − 2.0 W
was required from the BUG for flow rates between 0.02 − 0.10 ml/min. Spray depositions
were only performed at the maximum system operating temperature of 450◦ C as at lower
temperatures the number of particulates in the film was unacceptable. It should be noted
that the deposition temperatures quoted throughout this work may be slightly higher than
the actual deposition temperature due to the cooling of the wafer by the gas flow.
The deposition time and the efficiency at which the TPT is converted to TiO2 is greatly
influenced by the alignment of the system. The height of the nozzle and whether the tip sits
directly in the nitrogen flow from the air-knife or just above it is important. The best results
were achieved by adjusting the height of the nozzle with the nitrogen flowing, and when it
could be heard that the tip just entered the gas stream the nozzle was fixed in that position.
The optimum angle for spraying was determined to be 5◦ below the horizontal. At this angle
the nitrogen emerging from the air-knife travels up towards the block and then adheres to
the top surface of the block as it passes across it. The adherence of a gas to a solid surface
is called the Coanda effect. In general, spraying at angles closer to the horizontal reduced
the number of particulates observed in the film, and also enabled only the top surface of
3. TiO2 Thin Film Deposition Equipment
Check that tip of spray nozzle is free TiO2 powder
Install a new 0.5 m length of LDPE 1/8" OD tubing between
three-way valve and nozzle
Withdraw 1 ml of TPT into gas-tight syringe
Syringe pump: set syringe diameter and desired flow rate
Purge chamber with N2 until RH ≈10%
Wait for substrate heater to reach 450°C
Inject TPT to fill "dead-space" in the tubing, valves and nozzle
Turn on motor to move stage away from centre and place
wafer on substrate heater
Using three-way valve, withdraw TPT from bottle into syringe
To begin spraying: adjust power on BUG to 1.2 W, start TPT
flow, and turn on air-knife N2
Leave motor off momentarily as there are often a few "spits"
at the start of spraying
Turn on motor and monitor colour of TiO2 film on wafer - stop
when it appears dark blue
To spray more wafers
86
Turn off syringe pump, motor and BUG, and remove wafer.
Open exhaust valve and purge system for 1 min.
To finish spraying, turn off heater block, remove nozzle and
syringe and rinse with IPA, discard tubing in waste container
Figure 3.16: Diagram indicating the necessary steps to set-up and perform depositions with the TiO2 spray system.
3.7 Design of CVD System
87
grooved wafers to be coated.
The order in which the various components are turned on and off are important. The tubing,
valve and nozzle should be filled with TPT before starting. Any excess TPT can be wiped
off the tip of the nozzle using a tissue and some isopropyl alcohol (IPA). The stage should
be positioned so that the initial spray plume will not land on the wafer. This is because
of a tendency for there to be more particulates in the initial spray plume. Secondly, the
air-knife flow should be started and the BUG should be turned on. After a few seconds of
spraying the motor can be switched on and coating of the wafer will begin. To finish, it is
best to turn off the BUG first, to stop he atomisation process as quickly as possible, then
the syringe pump, and finally the motor and air-knife flow.
For the deposition of doped TiO2 films (as in Section 6.3 of this work) the dopant liquid was
mixed together with the TPT in a small chemical bottle in a fumecupboard before spraying.
If many doped films, especially of different doping concentrations, were required it would
be recommended to replace the three-way valve with a four-way valve and to have separate
pure bottles of TPT and the dopant liquid. The syringe should be shaken to ensure good
mixing of the two liquids.
3.7
3.7.1
Design of CVD System
Motivation
Although the spray pyrolysis system produced quite dense anatase films, the thickness uniformity on a macroscopic level was poor. On a scale of nanometres to micrometers the films
were quite uniform, however, on a scale of millimetres to centimetres, thickness variations
of a similar magnitude to the average film thickness were observed. This meant that, for a
70 nm thick film, there were many points across the wafer that remained virtually uncoated.
This, along with the incorporation of particulates, typically 1 − 30 µm in diameter, had serious implications for chemical resistance and the electroless metal plating process. Other
limitations included only being able to operate the spray deposition system at the maximum
temperature of 450◦ C, in order to limit the number of particulates in the film.
3.7.2
TPT Bubbler and Temperature Control
Therefore a simple CVD system was designed to replace the spray system. Attachai Ueranatusan (MEngSc, UNSW) had performed initial investigations into a CVD nozzle that
was fed with a vapour from a glass bubbler. This idea was adapted by the author into the
existing structure of the spray system. A one-litre stainless steel bubbler was purchased
(Meriter, U.S.A.) for safety as it could handle pressures up to 42 psi, much greater than the
88
3. TiO2 Thin Film Deposition Equipment
quartz bubbler. The use of electropolished stainless steel bubblers have been successfully
demonstrated in the semiconductor industry.256 It was found that there was essentially no
assay degradation when comparing the performance of quartz and stainless steel bubblers
(J.C. Schumacher, CA, U.S.A.) were the same and as long as moisture was prevented from
entering the bubbler. This is achieved by using metal gaskets instead of Teflon. A pressure
relief valve with a threshold of 35 psi was placed in parallel with the bubbler to prevent too
high a pressure being applied to the bubbler. The outlet of the pressure relief valve went
directly into the exhaust system.
A Schumacher temperature controller and temperature control unit were used to maintain
the bubbler temperature at 50◦ C. At this temperature, the TPT has a vapour pressure
of 1 mbar. The Teflon tubing leading from the bubbler to the nozzle was heated using a
1 m length of 240 VAC heater tape with a power of 90 W. This was insulated with glassfibre insulation tape, held in place with high-temperature heat-shrink tubing. This was to
prevent condensation of the TPT onto any cool surface so as to avoid the build up of TiO2
particulates in the tubing and nozzle. It was not necessary to hear the nozzle itself as its
close proximity to the heater block ensured that it maintained a temperature well above
50◦ C.
3.7.3
Water Vapour Bubbler
After initial success with TiO2 films deposited using the CVD system, a second bubbler
containing de-ionised (DI) water was added to the system. This was designed to permit
reactions by hydrolysis. This was a standard quartz bubbler typically used for performing
wet oxidations in a tube furnace. A separate nitrogen regulator was used to limit the
pressure that could be applied to the bubbler to 5 psi. The bubbler had a matching base with
an integrated heater. The temperature was monitored with a thermometer and manually
maintained at approximately 100◦ C. A 3-way valve was attached to the outlet of the water
bubbler so that the water vapour could be ”switched-off” by redirecting the flow to an empty
flask. Figure 3.17 shows a diagram of the final CVD system.
3.7.4
Operation of the CVD System
Operation of the TiO2 CVD system was quite similar to the spray system. About three
hours before depositions were performed it was necessary to switch on the temperature
controllers for the TPT and water bubblers. A timer was implemented for doing this so that
CVD depositions could begin early in the morning. Depositions could now be performed at
substrate temperatures of 150 − 450◦ C. Below 150◦ C the film coverage became very nonuniform, probably due to the TPT striking the substrate as a liquid. As with the spray
system the air-knife angle and nozzle position were critical. It was found that the optimum
3.8 Conclusions
89
angle for the air-knife was about 2◦ above the horizontal, and the height on the nozzle was
adjusted in the same manner as previously described in Section 3.6.8. Again, the gas flows
listed in Table 3.2 are the minimum flows for successful TiO2 deposition to occur, while
trying to reduce the amount of cooling of the block occurring.
Table 3.2: Deposition conditions for the USD and CVD TiO2 films
Process parameters
USD
CVD
3
Liquid TPT flow rate (cm )
0.02 − 0.10
n/a
TPT bubbler flow rate (scm3 )
n/a
1700
Air-knife N2 flow rate (slpm)
5
13
Atomisation power (W)
1.5 − 2.0
n/a
Chamber pressure (kPa)
≈ 101
≈ 101
◦
TPT temperature ( C)
25
50
Substrate temperature (◦ C)
450
150 − 450
Nozzle-substrate distance (cm)
5−7
3−5
Relative humidity (%)
< 10
< 10
◦
Deposition angle ( )
−5
≈2
Deposition time (min)
1−2
10 − 20
3.8
Conclusions
A good understanding of basic TiO2 material properties along with knowledge of a previous
TiO2 spray deposition system at UNSW, formed the requirements for the TiO2 thin films
in this application. Films were required to be dense and defect-free, exhibit good thickness
uniformity, possess a high refractive index and low optical absorption, and be insulating.
Examination of deposition techniques described in the literature lead to the author designing and constructing an ultrasonic spray deposition (USD) system. USD offered several
many potential advantages, including shallow-angle depositions, low deposition rates, and
the ability to deposit for a wide range of thin films via this method using different liquid
precursors. Many of the desired TiO2 film properties were obtained from films deposited
using the USD system. However, the limitations of the USD system were, firstly, that the
thickness uniformity of these films was poor, secondly, that large particulates were commonly
embedded into the thin films (these films will be characterised in detail in Chapter 4). Spray
depositions were performed at 450◦ C as a dramatic increase in the number of particulates
was observed at lower temperatures. Thus, although the films exhibited a high refractive
index, the ability to tune the refractive index by varying the substrate temperature could not
be realised. This lead to the design and construction of a simple chemical vapour deposition
(CVD) system that could operate at atmospheric pressure. TiO2 films deposited with this
simple CVD system are used throughout the majority of this work.
air-knife
quartz H2O
bubbler and
heater
N2
N2
N2 +
H2O
motor
1/8" st. vacuum
steel
rings
nozzles
N2 +
TPT
cartridge
heaters
heated
lines
TPT
heater
relay
housing
12 VDC
vacuum
line
thermo
-couple
switch (x2)
st. steel
block
st. steel
bubbler
N2
445qC
450qC
PID
temperature
contoller
TPT bubbler
temperature
contoller
49.9qC
50.0qC
90
3. TiO2 Thin Film Deposition Equipment
Figure 3.17: TiO2 CVD deposition system. The humidity sensor, exhaust
valve on TPT bubbler, and the 3-way valve on the water bubbler have been
omitted for clarity.
Chapter 4
Characterisation of TiO2 Thin Films
Extensive characterisation of TiO2 films deposited using ultrasonic spray deposition (USD)
and chemical vapour deposition (CVD) was performed in order to determine the physical,
optical, electrical and chemical properties of the films. All films deposited at 450◦ C were of
the anatase phase. A surprising result was that USD anatase films did not convert to rutile
after lengthy annealing at 950◦ C. USD TiO2 films were found to be dense (3.64 g/cm3 ) and
exhibited a high refractive index (2.45 at 600 nm), ideal for acting as an antireflection (AR)
coating on a glass encapsulated silicon wafer. Although the USD films appeared continuous
over a microscopic level, macroscopically the films exhibited large variations in thickness
and the occasional pinhole. TiO2 films deposited using CVD were found to exhibit much
lower surface roughness and better thickness uniformity, although the density was significantly
lower than that of the USD-deposited films. Shallow-angle depositions were successful in
maintaining the grooves free of TiO2 . However, shallow-angle depositions were not successful
on textured crystalline silicon wafers, with large tree-like structures growing at the tips of the
pyramids. The chemical resistance of all films was excellent against acids, but relatively poor
against alkaline solutions, although the etch resistance did improve upon annealing of the
TiO2 film.
4.1
Introduction
The literature review in Chapter 2 described the many different material phases of TiO2 ,
and the variation of the material properties with those phases. The front-surface dielectric
film in the buried-contact (BC) solar cell fabrication sequence is subjected to a number of
high-temperature processing steps in oxygen, nitrogen, and phosphorus-containing furnace
ambients. Therefore, before replacing the silicon dioxide (SiO2 ) layer in the original BC solar
cell with a TiO2 film, it was necessary to perform extensive characterisation of the TiO2 thin
films to, firstly, optimise the deposition parameters to obtain a TiO2 film exhibiting the
91
92
4. Characterisation of TiO2 Thin Films
desired qualities and, secondly, to determine the behaviour of the films under typical BC
fabrication sequence conditions. Different characterisation techniques were utilised in order
to determine the physical, optical, chemical and electrical properties of the as-deposited and
annealed TiO2 thin films, deposited using ultrasonic spray deposition and chemical vapour
deposition (CVD). Characterisation techniques can be roughly divided into the following
four categories:
• Physical Properties: The crystalline phase of the film and the existence of oxygen
vacancies were determined using Fourier-transform infrared (FTIR) and Raman spectroscopy. The surface roughness of the films was measured using atomic force microscopy (AFM). The presence of particulates and the density of the films was observed using scanning electron microscopy (SEM). SEM work also provided a rough
indication of the grain size of the material. Elemental analysis of film was performed
using both X-ray photoelectron spectroscopy (XPS) and Rutherford back-scattering
(RBS) spectroscopy.
• Optical Properties: The refractive index and extinction coefficient of the films were
measured using spectroscopic ellipsometry (350 − 1150 nm), ellipsometry (633 nm),
and reflectance measurements (300 − 1200 nm).
• Electrical Properties: Conductivity observed in some TiO2 films that had undergone a
reaction was determined using a four-point probe (FPP). The degree of surface passivation afforded by TiO2 films and TiO2 /SiO2 stacks was determined using the transient
photoconductance decay (transient-PCD) technique (discussed further in Chapter 5).
• Chemical Properties: The chemical resistance of TiO2 thin films were determined by
placing films in various solutions commonly used in solar cell processing.
A brief introduction to each of these characterisation techniques will be provided here and
the results presented throughout this section.
4.2
FTIR Spectroscopy
FTIR spectra provide information regarding the bonding between atoms in a sample, and
are primarily used in the semiconductor industry to determine the existence of dopant or
impurity atoms. The nature of the technique is very quantitative in identifying the impurity
type, but very qualitative in determining the concentration of the impurity. FTIR spectra
are also demonstrated in this work to be useful for understanding changes occurring in TiO2
films during high-temperature processing. For a discussion of the theory of FTIR refer to
works by Nakamoto257 and Griffiths and de Haseth.258
4.2 FTIR Spectroscopy
93
FTIR measurements in this work were performed with a Nicolet 520 spectrometer in the
range 250 − 5000 cm−1 . A 4 cm−1 resolution was used and 256 scans were collected per
spectrum. Any oxygen and carbon in the float-zone (FZ) wafers was accounted for by first
performing a reference spectrum with only the FZ wafer. This reference spectrum was then
subtracted from subsequent sample spectra to yield information about the TiO2 film.
Erkov et al. published some excellent FTIR spectra of 110 nm thick, LPCVD deposited TiO2
thin films, as shown in Figure 4.1(a) and (b).117 The rutile films are deposited on Si wafers
at 630◦ C using a TiCl4 precursor with N2 O and H2 ambient gases. The spectra shown in
Figure 4.1(a) are the as-deposited TiO2 film (curve 1), samples annealed in a vacuum (curve
2), structures annealed in N2 O ambient (curve 3), and samples that had 10 nm of SiO2
grown prior to TiO2 deposition (curve 4). In Figure 4.1(b) the IR spectra of a bare Si wafer
with 0.4 − 0.6 nm natural oxide (curve 5) and of sample 3 after etching off the TiO2 in a hot
H2 SO4 etch (curve 6). The strong absorption peak at 608 cm−1 is inherent to the rutile phase,
however this is somewhat obscured by a weaker silicon absorption peak at 608− 610 cm−1 , as
seen in curve 5. The overlapping peaks at 423 cm−1 and 460 cm−1 are also characteristic of
the rutile modification of TiO2 . An additional absorption peak is observed at 470− 480 cm−1
for samples annealed in N2 O (curve 3) and for structures with a 10 nm interfacial SiO2 layer
(curve 4). In the former case the peak at 480 cm−1 is attributed to the formation of Ti2 O3 .
This will be discussed in more detail in Section 5.2.2. The small peak at 515 cm−1 has been
observed for TiO2 samples annealed in vacuum only (curve 2). The existence of a peak
at 1108 cm−1 is indicative of the formation of SiO2 at the Si:TiO2 interface (curves 2, 3, 4
and 6). This peak is even observed in the vacuum (10−2 Torr) annealed samples where the
availability of oxygen would be extremely limited.
Figure 4.2 shows the FTIR spectra of two USD-deposited TiO2 films from this work (TO-11
and TO-12), over the range where the spectra of coated wafers differ markedly from the
bare silicon reference wafer. It can be seen that at wavenumbers greater than 570 cm−1 the
features observed in all spectra are similar and originate from the silicon substrate. A broad
absorption peak at 1083 cm−1 is the only difference between the TiO2 coated wafers and
the bare substrate. This peak is likely to be due to the formation of SiO2 at the TiO2 :Si
interface, and is normally observed at 1108 cm−1 .117
When comparing these thin film FTIR spectra to reference spectra for bulk anatase and
rutile TiO2 obtained from the literature259, 260 it is immediately apparent that there is little
agreement between the absorption peaks. Only a local transmittance peak at about 380 cm−1
can be observed in all samples. The spectra of the bulk TiO2 samples may differ greatly due
to other incorporated impurities or the measurements may not have been obtained at room
temperature. TiO2 samples TO-11 and TO-12 were loaded into the furnace under different
conditions, and the effect of the ambient furnace gas will be discussed in detail in Section
5.2.2. The spectrum of TiO2 film TO-11 has been successfully modelled by the author using
the polarisation-dependent model recently applied to single crystal anatase.261, 262 The model
94
4. Characterisation of TiO2 Thin Films
Figure 4.1: (a) and (b) FTIR spectra of TiO2 thin films on silicon wafers.
The spectra are of 1) an as-deposited TiO2 film, 2) TiO2 films annealed
in a vacuum, 3) TiO2 films annealed in N2 O, 4) TiO2 films deposited on
10 nm of SiO2 , 5) a bare Si wafer with 0.4 − 0.6 nm natural oxide, and
6) the spectra of sample 3 after etching off the TiO2 .117
presented in Equation 4.1 is based on the factorised form of the complex dielectric function
ε(ν).261
ε(ν) = ε1 (ν) − ıε2 (ν) = ε∞
ε2
n
LOn
ε2T On
− ε2 + ıγLOn ε
− ε2 + ıγT On ε
(4.1)
The longitudinal optical (LO) and transverse optical (TO) phonon oscillator frequency ν
(cm−1 ) and damping γ (cm−1 ) values are given in Table 4.1. The complex dielectric constant
is related to the complex refractive index by ε = n
2 (refer to Section 2.3.6 for more detail).
A similar model has been published in the past for rutile.263 The sample structure used
in the anatase model was a 300 µm thick polished silicon wafer with a 74 nm thick TiO2
film deposited onto both surfaces. The dielectric constant of the TiO2 film was determined
using a Bruggemann effective medium approximation158 of 55.7% Ec-axis and 44.3% E⊥caxis. The modelling was performed using the WVASE32 software package.62 The best fit
4.3 Raman Spectroscopy
95
obtained with the model is plotted in Figure 4.2 using the anatase values from Table 4.1.
It can be seen that the location of the absorption peaks are in excellent agreement with the
experimental results. This result is somewhat surprising as the as-deposited films had been
subjected to 90 min of annealing at 950◦ C, which should be more than sufficient to observe
a transformation from anatase to rutile. The only similar result that can be found in the
literature (for undoped TiO2 ) is for anatase films, deposited at 330◦ C, remained anatase after
annealing at 850◦ C.75 In order to confirm that only the anatase phase was present Raman
spectroscopy measurements were performed on the films.
Figure 4.2: Comparison of the FTIR spectra of spray deposited TiO2 thin
films and the modelled anatase result obtained using Equation 4.1.
4.3
Raman Spectroscopy
Raman scattering occurs when light interacts with the optical phonons in a material. If the
photon gives up part of its energy to the lattice, in the form of a lattice vibration or phonon,
the photon emerges with a lower energy. It is also possible for a photon to absorb a phonon
and emerge at a higher energy, although these events much weaker. The theory of Raman
spectroscopy is discussed in detail in the works of Long264 and Nakamoto.257
Since the intensity of Raman scattered light is very weak, the intense monochromatic beam
from a laser is required. The collected signal is usually passed through a double monochromator and detected by a photodetector. The Renishaw Model 2000 machine located in the
School of Materials Science at UNSW is equipped with three lasers, with wavelengths of
514.5 nm, 632.8 nm and 780 nm. The system uses a prism to disperse the signal onto a CCD
96
4. Characterisation of TiO2 Thin Films
Table 4.1: LO and TO phonon frequencies for anatase and rutile to fit dielectric function in Equation 4.1 (adapted from Gonzalez,261 and Gervais
and Piriou 263 ).
Anatase
Mode
E c-axis
(A2u )
Frequency
ν (cm−1 )
Rutile
Damping Frequency
γ (cm−1 ) ν (cm−1 )
Damping
γ (cm−1 )
TO
LO
367
755
68
79
172
796
76
38
E⊥ c-axis TO
(Eu )
LO
TO
LO
262
366
435
876
36
4.1
32
33
189
367
381.5
443.5
27
10
16.5
21.5
ε∞ (Ec-axis) = 5.41
ε∞ (E⊥c-axis) = 5.8
ε∞ (Ec-axis) = 7.8
ε∞ (E⊥c-axis) = 6.0
array. While this makes measurements very fast it also limits the accuracy of the instrument to about 1.6 cm−1 . An additional complication with the Renishaw machine is that
the absorption filter designed to remove the laser line at 0 cm−1 begins absorbing at about
200 cm−1 . This interferes with the dominant peak of anatase which lies at 143 − 144 cm−1 ,
and rutile also has a smaller peak that lies at the same frequency. Figure 4.3 illustrates the
Raman spectra for the three crystalline phases of TiO2 as well as the amorphous phase.265
Figure 4.3: Raman spectra of the various phases of TiO2 , obtained from
powder samples.265
4.3 Raman Spectroscopy
97
It can be seen that the peaks from each TiO2 phase are clearly separated in frequency and
therefore easily distinguishable. Table 4.2 presents the Raman peak assignments for single
crystal anatase and rutile, and also silicon. Silicon is included as the absorption depth of even
the most absorbing TiO2 films deposited in this work is just over 1 µm at λ = 514.5 nm. Since
the films are typically 70 nm thick, photons interact with the silicon, which has a similar
absorption depth to TiO2 at this wavelength, creating Raman scattering events. This is
confirmed in the literature where the Raman peaks of silicon were still observed at 300 cm−1
and 520 cm−1 when performing measurements on 700 nm thick TiO2 films deposited onto
silicon wafers using a laser of 532 nm.266 Thus, it is not possible to observe the A1g and B1g
modes of anatase for a thin film deposited onto silicon.
Table 4.2: Raman active phonon frequencies for anatase and rutile
(adapted from Ohsaka et al.267 and Gonzalez 261 ).
Anatase
Mode Frequency
ν (cm−1 )
Eg
Eg
B1g
A1g
B1g
Eg
144
197
399
514
514
639
Rutile
Mode
Frequency
ν (cm−1 )
B1g
Eg
A1g
B2g
143
447
612
826
Figure 4.4 shows the behaviour of the Raman spectra during annealing to temperatures for
a fixed time of 40 min.265 At about 250◦ C, the 141 cm−1 anatase peak appears and by 425◦ C
the conversion to anatase is complete.265 The anatase to rutile transformation is clearly
observable at 800◦ C, and at 1000◦ C the conversion to rutile is complete.
Raman measurements from this work are given in Figure 4.5. Note that the data for the
520 cm−1 silicon peak has been omitted for clarity. Samples 1 and 2 were deposited by
APCVD at Eurosolare S.p.A., Italy. Sample 1, deposited at 320◦ C, exhibits no anatase
peaks. Silicon peaks at 300 cm−1 and were observed, and the peaks at 622 cm−1 and 834 cm−1
could possibly be attributed to the A1g and B2g modes of rutile. The A1g peak is typically
very strong, while the B2g mode is very weak. Therefore it is concluded that the sample is
predominantly amorphous with a very small fraction of rutile. With increased temperature
(450◦ C) anatase peaks emerge at 143 cm−1 , 396 cm−1 and 637 cm−1 . The location of these
peaks is in excellent agreement with the bulk values given in Table 4.2. Samples 3 and
4 were both deposited at 450◦ C using ultrasonic spray pyrolysis, and sample 4 received a
subsequent 90 min anneal at 950◦ C. These results confirm the earlier result that only the
anatase phase is present after a lengthy high-temperature anneal. The broad peak at about
98
4. Characterisation of TiO2 Thin Films
Figure 4.4: Raman spectra measured after annealing TiO2 powder samples at various temperatures.265
950 cm−1 is attributed to silicon-oxygen-titanium (Si-O-Ti) bond formation.268 Note that a
peak at 960 cm−1 is observed in silicon, however this is a multiple phonon event and it is
very weak.8 The Si-O-Ti peak indicates an interaction between the TiO2 layer and either
a thin or native SiO2 layer.266 This result is consistent with the FTIR finding that a SiO2
layer is formed at the TiO2 :Si interface. Fitzgibbons et al. noted that TiO2 depositions on
quartz substrates remained anatase after annealing at 1000◦ C for 20 hr.67 Therefore, it is
postulated that the presence of an interfacial SiO2 layer has resulted in the TiO2 maintaining
the anatase preferentially.
4.4
X-ray Photoelectron Spectroscopy
X-ray photoelectron spectroscopy (XPS) is primarily used to identify chemical species at
the surface of a sample. When high-energy photons (X-rays) interact with atoms of the
sample via the photoelectric effect, electrons are ejected from the core levels. However only
photoelectrons ejected from atoms in the top 5 − 50 ˚
A can escape and therefore be detected.
The primary strength of XPS is that it allows chemical and not only elemental identification.
Depth profiling is also possible by performing ion-beam sputtering of the sample. Further
information on XPS theory and measurement techniques can be found in Briggs and Seah.269
The spectrometers used in this work were, firstly, a VG Scientific ESCALAB 220i-XL, using
monochromated Al Kα (1486.6 eV) radiation, with an accuracy of 0.2 at. %. Figure 4.6
shows the XPS spectrum resulting from a wide energy scan of a spray deposited TiO2 film
on silicon. This was a surface scan performed with no etching of the film. Apart from
the expected titanium and oxygen peaks, a significant carbon peak is observed. This peak
is primarily due to adsorbed carbon from the atmosphere, as the sample was stored in
4.5 Rutherford Back-Scattering Spectroscopy
99
Figure 4.5: Raman spectra of TiO2 thin films deposited by APCVD (samples 1 and 2) and ultrasonic spray pyrolysis (samples 3 and 4). Anatase
(A) and silicon (Si) Raman peak assignments are shown. Samples 1 and
2 were deposited at 320◦ C and 450◦ C, respectively, while samples 3 and
4 were both deposited at 450◦ C. Sample 4 received a subsequent 90 min
anneal at 950◦ C.
air. This adsorbed carbon had an atomic concentration of 13% at the top surface. As
the film was etched this reduced quickly to below 1%, indicating that 1% was the level
of contamination resulting from the organic precursor (see Section 5.3.1). The location of
the carbon peak at 285 eV serves the useful purpose of providing a calibration point for
the spectra, accounting for any charging effects.94 Windows around the titanium, oxygen,
carbon and silicon peaks were drawn and examined in greater resolution. The O1s peak at
531 eV exhibits a slight shoulder at exhibited a shoulder at about 533 eV, and this has been
attributed to the adsorption of water vapour onto the top surface.94 The results of further
XPS experiments will be discussed in Section 5.3.1.
4.5
Rutherford Back-Scattering Spectroscopy
Rutherford back-scattering spectroscopy (RBS) is based on bombarding a sample with highenergy helium ions and measuring the energy of these back-scattered ions. With this method,
the masses of elements and their depth distribution in the sample can be determined. The
depth resolution of RBS is typically 100 ˚
A, and atomic concentrations down to 10 − 100 ppm
can be detected. Figures 4.7(a) and (b) indicates how the measured RBS spectrum corresponds to the elements in the sample structure.270 Since Au, Ag and N are only on the
surface in Figure 4.7(a), the RBS signals have a narrow spectral distribution. It also demon-
100
4. Characterisation of TiO2 Thin Films
Figure 4.6: XPS surface scan over a wide range of binding energies of a
TiO2 film spray deposited onto a silicon wafer.
strates that, firstly, the RBS yield increases with atomic number. Secondly, the RBS signal
of elements lighter than the substrate (nitrogen in our example) will appear superimposed
on the substrate signal, while heavier elements will be displayed as separate peaks.270 Figure
4.7(b) demonstrates how the RBS signal for gold broadens as ions back-scattered from deeper
within the gold film have lower energies due to additional energy loss mechanisms within the
film. More detailed theory on RBS can be obtained from Schroder270 or Breese et al.271
The RBS results in this work were obtained with a collimated beam of 4 He2+ ions at an
energy of 2 MeV. The beam was scanned over an area of 1 × 1 mm2 . Backscattered ions
were detected with a surface barrier detector with a solid angle of 28 msr at a scattering angle
of 145◦ . The beam was provided by the University of Melbourne 5U pelletron accelerator.
Figure 4.8 shows the RBS spectrum obtained from a TiO2 film deposited by ultrasonic spray
pyrolysis onto a silicon wafer and a RUMP simulation272 of a 100 nm thick TiO2 film.
As discussed in Section 2.2.5, the density of the film can also be determined from the RBS
spectrum. The areal densities of the titanium and oxygen in the film in Figure 4.8 were
ρareal = 1.51 ± 0.01 × 1017 atoms/cm2 ρareal = 3.1 ± 0.2 × 1017 atoms/cm2 , respectively. The
resulting stoichiometry is determined to be 2.02 ± 0.13, being limited by the noise in the
oxygen statistics.273 Further results from RBS will discussed in Chapter 6.
4.6 Ellipsometry
101
Figure 4.7: (a) Calculated RBS spectrum for a silicon sample with the
elements gold (Au), silver (Au) and nitrogen (N) on the top surface.
Note the narrow peaks. (b) Au depth profile information corresponds to
an increased Au peak width.270
Figure 4.8: RBS spectrum of a TiO2 film on a silicon wafer (solid line)
and a RUMP simulation 272 of a 100 nm thick TiO2 film.
4.6
4.6.1
Ellipsometry
Overview
Ellipsometry is primarily used in the semiconductor industry for accurately measuring the
thickness of thin dielectric films. However, ellipsometry is also a very powerful tool for
determining the optical constants of a film. The following brief introduction to ellipsometry
has been adapted from J.A. Woollam.62
102
4. Characterisation of TiO2 Thin Films
Ellipsometry measures the change in the state of polarisation of light that is reflected from
the front surface of a sample. The measured ellipsometric parameters Ψ and ∆ are related
p and R
s for p- and s-polarised light, respectively.
to the ratio of the Fresnel coefficients R
Figure 4.9 shows an incoming linearly polarised beam, with the p-direction lying in the plane
of incidence (the plane that contains the incident and reflected beams) and the s-direction
(from Senkrecht, German for perpendicular) lies perpendicular to the p-direction.
Figure 4.9: An ellipsometry experiment, showing the p- and s-directions
(from J.A. Woollam 62 ).
and the electric field E
Figure 4.10 compares linearly, circularly and elliptically polarised light. By looking at the
in a plane perpendicular to the direction of propagation the polarisation
electric field vector E
lies in one line at all times
of the light can be determined. For linearly polarised light (a), E
and there is no phase difference. In the case of circularly polarised light (b) the Ex and Ey
are of equal magnitude but 90◦ out of phase. Circularly polarised light
components of E
may precess either clockwise or counter-clockwise around the circle. In general, the Ex and
Ey -fields do not have to be of equal magnitude and could possess any phase relationship. In
traces out an ellipse as a function of time.
this case (c) the tip of the electric field vector E
p and R
s is defined as the complex reflection ratio
The ratio of the reflection coefficients R
ρ, which is related to the measured ellipsometric parameters Ψ and ∆ by
ρ=
p
R
= tan(Ψ) expı∆
s
R
(4.2)
The schematic diagram in Figure 4.11 shows the typical componentry of a simple ellipsometer. A collimated beam of unpolarised light becomes linearly polarised after passing through
the polariser (P). The compensator (C), or retarder, changes this linearly polarised light
the elliptically polarised light. The compensator contains a fast and slow optical axis perpendicular to the direction of transmission. Therefore one component will become retarded
in phase relative to the other component. After being reflected off the front surface of the
sample the linearly polarised light enters the analyser (A) (similar to the polariser). With
4.6 Ellipsometry
103
Figure 4.10: Diagram looking into the propagating beam, showing (a)
linearly, (b) circularly and (c) elliptically polarised light (adapted from
J.A. Woollam 62 ).
null ellipsometry the signal is extinguished by the analyser and a zero output is observed at
the detector. Then by fixing certain angles the number of solutions is reduced down to one
pair of Ψ and ∆. There are other ellipsometric configurations (e.g., rotating analyser like
the VASE instrument) and the reader is referred to J.A. Woollam62 for further information.
In the example shown in Figure 4.11 light is reflected at an air-substrate interface. In this
Figure 4.11: Schematic diagram of a typical ellipsometry setup (adapted
from 270 ).
simple case Fresnel’s equations can be used to show that the complex refractive index n
can
be determined from the measured Ψ and ∆ values:270
n
= n1 − ık1
= n0 tan(φ) 1 −
4ρ
sin2 (φ) .
(1 + ρ)2
(4.3)
104
4. Characterisation of TiO2 Thin Films
If the ellipsometric ratio ρ from Equation 4.2 is measured at the incident angle φ and n0
is known (unity for air) then the refractive index n and extinction coefficient k can be
calculated.
In Figure 4.12(a) and (b) the behaviour of the p- and s-components of the reflectance and
the ellipsometric parameters Ψ and ∆ are plotted for a bare crystalline silicon substrate for
light of λ = 633 nm. It can be seen that as the angle of incidence increases Ψ reaches a
minimum at 75.5◦ . At this angle ∆ changes from very close to 180◦ to 0◦ . This angle is
known as the Brewster angle and is determined by
1
−1 n
,
φ
B = tan
n0
(4.4)
0 is the ambient
where φ
B is the Brewster angle (complex for an absorbing substrate), n
refractive index (air in our example) and n1 is the complex refractive index of the substrate
(silicon). The absorption in the silicon substrate prevents the p-polarised component and Ψ
from going to zero.
In summary, two important advantages of ellipsometry are that, firstly, because the ratio
of two numbers is measured it is highly accurate and reproducible and, secondly, the phase
information ∆ makes the measurement very sensitive.
4.6.2
Ellipsometers
Three different ellipsometers were used in this work. A single wavelength G¨artner L116A
ellipsometer equipped with a He-Ne laser (632.8 nm) was used for quick determination of
film thickness and refractive index immediately after film deposition or high-temperature
processing. It was attempted to measure all films at both 50◦ and 70◦ as a consistency
check. However, this often was not possible due to the films acting as an AR coating a
reducing the signal significantly. Instead, three to five measurements were made at 70◦ and
these values averaged.
The second ellipsometer equipped with three lasers (Pacific Solar Pty Ltd, Sydney), with
wavelengths of 632.8 nm, 831.7 nm and 1299 nm. This enabled a few points on the dispersion
curve of n and k to be measured. Additionally, at each wavelength the sample was measured
at incident angles from 45◦ to 80◦ in 5◦ steps providing a high degree of confidence in the
extracted thickness and optical constants.
Variable angle spectroscopic ellipsometry (SE) measurements were performed in the Department of Physiology at the University of Western Australia with a VASE machine (J.A.
Woollam Co., Inc.). Traditionally, a monochromatic light source such as a He-Ne laser is
used as a source, however in the case of SE a xenon lamp and a monochromator are implemented instead. The ability to measure data a wide range of wavelengths (250 − 1700 nm)
4.6 Ellipsometry
105
Figure 4.12: Behaviour of (a) p- and s-polarisation components, and (b)
Ψ and ∆ for a bare silicon substrate (adapted from J.A. Woollam 62 ).
and at multiple angles means that SE is a very powerful technique for determining the optical constants of single or multilayer samples. For the TiO2 on silicon samples measured in
this work the wavelength range was set to 350 − 1150 nm due to a noisy signal outside this
range. The noise in the UV was due to the limited signal from the lamp, while the noise
above 1150 nm arose from the type of fibre optic cable implemented. The wavelength range
350 − 1150 nm is excellent for silicon solar cells, with little energy from the sun at less than
350 nm and silicon has an extremely low absorption coefficient above 1150 nm. Data were
collected for each sample at angles of 65 − 80◦ in 5◦ steps.
106
4.6.3
4. Characterisation of TiO2 Thin Films
Lorentz Oscillator Model
All modelling of optical constants was performed using the WVASE32 software package.62
The Lorentz oscillator model was chosen for fitting the dispersive optical constants to the
ellipsometric data. The Lorentz oscillator model is based on the assumption that the response
of electrons in a material to the light beam is similar to the response of a harmonically
driven mass on a spring subject to a force (friction).62 In this analogy, the mass represents
the electron, the spring corresponds to the electrostatic forces on the electron (due to all the
other electrons and nuclei in the material), and the friction represents the electron energy
loss due to the emission of a photon. The imaginary part of the dielectric function ε2 is
proportional to the power per unit volume absorbed from a monochromatic light source of
a given wavelength.62 To apply this to the mass on the spring analogy for the electron, the
power absorbed by the mass from the driving force is then calculated using electromagnetic
units. After determining ε2 the Kramers-Kronig transformation can be applied to obtain
the real part of the dielectric function ε1 . The relationships between the dielectric constants
ε1 and ε2 and the refractive index n and extinction coefficient k are
ε1 = n 2 − k 2
(4.5)
ε2 = −2nk
(4.6)
The Lorentz oscillator model is Kramers-Kronig consistent, and is usually expressed in terms
of the dielectric constant, where
ε(E) = ε1 − ıε2
= ε1 (∞) +
N
2
Eni
i=1
Ai Bi Eni
.
− E 2 − ıBn E
(4.7)
In Equation 4.7, the incident photon energy E has the units of eV, ε1 (∞) is the value of
the real part of the dielectric function at very large photon energies (dimensionless), Ai is
the amplitude of the ith oscillator (dimensionless), Bi is the broadening of the ith oscillator
(eV), and Eni is the centre energy of the ith oscillator (eV). Initial modelling was performed
with a single Lorentz oscillator, however it was subsequently found that a double Lorentz
oscillator was able to model the experimental behaviour of some TiO2 films much better.
These situations included when the measurements approached the bandgap of anatase or
rutile, or when there was an anatase/rutile phase mixture present in the sample. Other
researchers have made use of similar single and double oscillator models to describe the
dielectric function of a material.45, 140, 144, 151
WVASE32 uses the mean-squared error (MSE), based on the chi-square (χ2 ) test, to determine the quality of match between the data calculated from current model (ψ mod , ∆mod ) and
4.6 Ellipsometry
107
the experimental data (ψ exp , ∆exp ). The MSE is defined in Equation 4.862

2 2 
N
exp
exp
mod
mod
∆i − ∆i
− ψi
1
 ψi exp

M SE =
+
exp
2N − M i=1
σψ,i
σ∆,i
=
(4.8)
1
χ2 ,
2N − M
where N is the number of (ψ, ∆) pairs, M is the number of variables in the model, and σ are
the standard deviations on the experimental data points. The MSE should reach an absolute
minimum for the best fit, and this minimum should be fairly sharp. However, insensitive
parameters and correlation between parameters can hinder the determination of an absolute
minimum MSE. It is therefore necessary to spend a significant amount of time developing
the initial model for the deposited material and substrate. Once established, it is then easier
to apply this model to similar films with greater confidence.
4.6.4
Surface Roughness Model
The most common method of modelling surface roughness of TiO2 thin films is to use
a Bruggeman effective medium approximation (EMA), comprised of 50% void and 50%
TiO2 .82, 128, 161, 274 The expression for the Bruggeman EMA was given in Equation 2.10 in
Section 2.3.6. The TiO2 fraction of the EMA was coupled to the underlying dense TiO2 layer,
linking the optical constants of the surface roughness layer to the denser TiO2 layer below.
The only parameter permitted to vary in the EMA layer was its thickness. This approximation for surface roughness has been used successfully by other researchers for modelling
TiO2 films.82, 128, 275 Mardare and co-workers82, 275 noted that surface roughness significantly
changed the values of the optical constants, and suggested independently confirming the surface layer roughness using atomic force microscopy (refer Section 4.9). Furthermore, it was
stated that for large surface roughness a graded layer model becomes necessary. The author
evaluated this option however it was found that the increased number of fitting parameters
easily lead to unphysical results. The results using either a single or double Lorentz oscillator
coupled with the 50% void/50% TiO2 EMA layer were found to be entirely satisfactory.
4.6.5
Ellipsometric measurements of Spray Deposited TiO2 Thin
Films
The optical constants of a TiO2 film spray deposited at 450◦ C are plotted in Figure 4.13. A
second TiO2 film deposited at 450◦ C but annealed at 950◦ C was also measured. The optical
constants were determined using the three-wavelength ellipsometer. As the optical constants
in the region 632.8 − 1299 nm are fairly non-dispersive the reflectance of the same samples
was also measured using a ultraviolet-visible-near infrared (UV-vis-NIR) spectrophotometer
108
4. Characterisation of TiO2 Thin Films
(see Section 4.7). A Lorentz single-oscillator model was used for both ellipsometric and
reflectance data. It can be seen from Figure 4.13 that the agreement in the refractive indices
is very good, while there is some discrepancy in the extinction coefficient data (note that
k is on a log scale). The surface roughness of these films is large (refer to Section 4.9)
and, as discussed previously in Section 2.3.1, surface roughness can affect measurements
of the extinction coefficient significantly. Additionally, it is known that ellipsometry is very
sensitive to non-uniformities in both thickness and refractive index.276 For these reasons, the
reflectance data is believed to provide the best estimate of the behaviour of the extinction
coefficient of the spray deposited TiO2 films.
Figure 4.13: Comparison between the optical constants of as-deposited
and annealed TiO2 films using three-wavelength ellipsometry (symbols)
and reflectance (curves) measurements. The hollow symbols (2,◦) are
refractive index values while the solid symbols (,•) represent extinction
coefficient values.
The refractive indices of the spray deposited films before and after annealing (at λ = 600 nm)
are 2.449 and 2.458, respectively. The high refractive indices observed here are possibly linked
to the high deposition rate of the spray system.277 Additionally, the high refractive index of
the as-deposited film indicates that they are very dense. Equation 2.2 from Section 2.2.5 can
be used to estimate the densities of the two films - the refractive indices of 2.470 and 2.476 (at
λ = 550 nm) correlate to densities of 3.63 g/cm3 and 3.64 g/cm3 , respectively. This compares
to a density of 3.84 g/cm3 for single crystal anatase. These results can be compared to other
TiO2 film densities reported in the literature. Ottermann et al. deposited stoichiometric
anatase films using e-beam evaporation at 320◦ C.278 These films had a refractive index
of 2.345 at 550 nm and a density of 3.3 g/cm3 . Bendavid et al. measured the density of
filtered-arc deposited anatase thin films to be 3.82 g/cm3 with a refractive index of 2.62 at
4.7 Reflectance Spectrophotometry
109
550 nm.33 This result is interesting in that from Equation 2.2 a refractive index of 2.62
should correspond to a density of 3.98 g/cm3 , which is greater than the bulk anatase value.
Obtaining a density greater than the bulk phase would require Ti and O atoms to occur
interstitially within the anatase crystal. This would most likely result in a fraction of the
film converting to the more stable phase of rutile. In the X-ray diffraction plot indicating
the anatase phase a small peak for rutile(110) is visible. This small rutile fraction could
explain the high film density.
Furthermore, based on the results from Sections 4.2 and 4.3, which indicated that the films
are 100% anatase, the porosity of the films can then also be determined using Equation 2.3,
with the bulk anatase value nb being 2.532 at 600 nm. This calculation results in a film
porosity of 7.6% for the as-deposited sample and 6.8% for the annealed sample.
4.6.6
SE measurements of CVD TiO2 Thin Films
The thin films deposited via CVD had much smoother surfaces (refer to Section 4.9). Additionally, the restriction on the range of deposition temperatures was relaxed, and depositions
could be performed anywhere from 150−450◦ C. This enabled the refractive index of the TiO2
films to be varied. The presence of water vapour during depositions was also investigated.
The results of these experiments are presented and discussed in detail in Chapter 7.
4.7
Reflectance Spectrophotometry
Reflectance spectrophotometry is typically employed for measuring the thicknesses and optical constants of deposited thin films. The theory of the method is well developed and excellent explanations can be found in the literature.38, 279, 280 The reflectance spectra of TiO2
films were measured using a Varian Cary 5G ultraviolet-visible-near infrared (UV-vis-NIR)
spectrophotometer equipped with a Labsphere DRA-50 integrating sphere. This enabled reflectance measurements in the wavelength range 250 − 2500 nm to be performed. Reflectance
measurements were performed for determining the optical properties of spray deposited TiO2
thin films (see Section 4.6.5) where ellipsometric determination at short wavelengths was not
possible. Additionally, the optical constants of multilayer TiO2 films (see Chapter 7) were
determined using both spectroscopic ellipsometry and reflectance spectrophotometry.
4.8
Electron Microscopy
Field emission scanning electron microscopy (FESEM) digital images of TiO2 films were
recorded using a Hitachi S-900 instrument with an accelerating voltage of 10 − 12 kV. Even
110
4. Characterisation of TiO2 Thin Films
though the films were insulating, it was not necessary to coat the films with a thin layer of
chromium. Images of USD-, APCVD- and CVD-deposited TiO2 thin films will be shown in
the following sections.
4.8.1
USD-Deposited TiO2 Thin Films
Cross-sectional SEM images of USD-deposited TiO2 films on silicon substrates are shown in
Figure 4.14(a), (b) and (c). Figure 4.14(a) shows an as-deposited TiO2 film. Figure 4.14(b)
indicates the possible existence of voids underneath the TiO2 film. The uniform, thin film on
top of the silicon substrate is SiO2 . The formation of this film will be discussed in Chapter
5. The image in Figure 4.14(c) is the same film as in (b), however the magnification is three
times greater at 600,000 times. In all the Figures it can be seen that the USD-deposited films
appear to be continuous and dense. This is in agreement with the high refractive indices
measured in Section 4.6.5. Figure 4.15 shows a plan-view of an as-deposited (450◦ C) TiO2
film. Again, the crystals appear to be densely packed, and the possibility of some sintering
having occurred is not ruled out.
4.8.2
APCVD-Deposited TiO2 Thin Films
The SEM image in Figure 4.16 indicates the presence of particulates, both incorporated into
and on top of the TiO2 film, as well as cracks in the film. Cracks were only observed in films
deposited using a commercial APCVD system, and the limited and infrequent access to the
deposition system meant that it was not possible to determine their origin. Particulates
were observed in both USD- and APCVD-deposited films. The particulates shown in Figure
4.16 are representative of smaller particulates, with larger sizes (up to 30µm) being observed
in USD-deposited samples. The inability to deposit TiO2 film without the presence of
particulates was a major motivating factor for developing the CVD-based system.
4.8.3
CVD-Deposited TiO2 Thin Films
Figure 4.17 contains four plan-view SEM images of TiO2 thin films, all deposited via CVD
at 450◦ C. In (a), angular crystallites can be seen, many of which are separated by large
voids. It is immediately apparent that the films deposited via CVD are less dense than
the USD-deposited ones. The lower-density of the as-deposited CVD films was reflected
in the refractive index measurements in Chapter 7. After annealing for one hour (b), the
agglomeration of grains are observed and the edges of the crystallites have become rounded.
Annealing at a slightly higher temperature for a period of 6 hr resulted in the majority
of grains sintering together. The largest voids in between the grains remain “unbridged”.
Annealing for a further 16 hr at 1000◦ C results in an almost continuous film, with small voids
4.8 Electron Microscopy
111
Figure 4.14: USD-deposited TiO2 films on Si substrates: (a) one sample
as-deposited, (b) a second sample, indicating the possible existence of
voids underneath sections of the film, and (c) a higher magnification
image of (b) showing the dense and continuous nature of the film.
(typically 40 nm in diameter) remaining. Figure 4.17(a)−(d) demonstrate that a sintering
process has occurred, similar to that illustrated in Section 2.2.1. The densification of the
TiO2 layer is accompanied by a reduction in film thickness, from 79 nm in (a) to 64 nm after
step (d). The correlation between density and refractive index is discussed in Section 2.2.5.
Due to the horizontal angle of the impinging vapour it is not possible to coat textured wafers
with this TiO2 deposition method. The result of performing a 1 hr deposition at 450◦ C is
dramatically shown in Figure 4.18. Large crystal structures over 1 µm in size have grown on
the tips of the pyramids, while the growth of smaller structures also appeared on the upper
regions of the pyramid edges. The structures observed here are very similar to the “grasses”
and “plants” observed by Goossens et al.,101 obtained using a TiCl4 /TPT precursor mixture.
It was noted that these fractal structures are good for solar harvesting in Gr¨atzel-type solar
cells, due to their large surface area. It was not attempted to further adjust the system due
to the requirement of the horizontally incident vapour in order to maintain the grooves free
112
4. Characterisation of TiO2 Thin Films
Figure 4.15: As-deposited TiO2 film USD-deposited onto a silicon substrates. The crystals seem to be relatively densely packed. The darker
regions are most likely crystals of a different orientation.
Figure 4.16: SEM image of an APCVD-deposited TiO2 film exhibiting
particulates and cracks.
from TiO2 .
It was anticipated that the horizontally impinging stream of TPT vapour would result in
TiO2 film deposition on the top surface of a wafer but not in the grooves. To test this
4.8 Electron Microscopy
113
Figure 4.17: SEM images of TiO2 films deposited by CVD at 450◦ C: (a)
as-deposited; (b) 1 hr anneal (load 800◦ C and ramp to 950◦ C); (c) 6 hr
anneal at 1000◦ C; and (d) 22 hr anneal at 1000◦ C.
hypothesis the grooves and busbar on the wafer were oriented at 45◦ to the incoming vapour,
as illustrated in Figure 4.19(a). A new busbar design was implemented in order to keep the
grooves free of TiO2 . This involved increasing the step the laser took in between scribing
adjacent busbar grooves, as shown in Figure 4.19(b) and (c).
Figure 4.20(a), (b) and (c) are SEM images of a silicon wafer after performing CVD deposition at an incident angle of 45◦ . The V-shape groove in Figure 4.20(a) arises from the
114
4. Characterisation of TiO2 Thin Films
Figure 4.18: SEM images of CVD TiO2 films deposited with a horizontally impinging TPT vapour onto textured silicon wafers at 450◦ C.
E
F
D
737
Figure 4.19: Diagram depicting: (a) the 45◦ orientation of the busbar
and fingers to the impinging TPT vapour; (b) the cross-section of the new
busbar, designed to keep the grooves free of TiO2 ; and (c) a traditional
BC solar cell busbar.
NaOH based anisotropic groove etch used. Large overhanging TiO2 deposits can be seen on
the top-edges of both sides of the groove in Figure 4.20(b) and (c). These deposits are up to
3 µm in length. It is believed that this will not inhibit electroless metal plating and, in fact,
a previous work may indicate that the overhanging dielectric may help promote plating at
the depths of the groove rather than near the surface.281
An energy dispersive X-ray spectrometry (EDS) attachment to a SEM enables detection and
4.9 Atomic Force Microscopy
115
identification of X-rays produced by the impact of an electron beam on the sample. The
detection limit of EDS is approximately 0.1 − 1.0 at.%. The EDS spectra of a TiO2 -coated
BC solar cell are given in Figure 4.21. The spectra from the top surface exhibits a large
peak at 1.75 keV (Si), a small Si sum-peak at 3.5 keV, and a large Ti peak at 7.5 keV. The
Si sum-peak results from two Si atoms hitting the detector simultaneously, and therefore an
energy of 3.5 keV is measured. The Si sum-peak is typically 1000 times smaller than the
main Si peak at 1.75 keV. In the groove spectrum, the height of the Ti peak is reduced to
roughly four times the height of the Si sum-peak. Although EDS is essentially a qualitative
measurement, the relative heights of the Si sum-peak and the Ti peak can provide some
indication as to the abundance of Ti in the grooves. Therefore, some TiO2 was observed in
the grooves, however it is believed that this amounts to a fraction of a percent. The peaks
at 4.5 keV and 4.95 keV belong to nickel (Ni). Thus, as well as plating in the heavily-doped
grooves, Ni is also plating either on top of the TiO2 or is contacting the doped emitter
through the TiO2 .
Figure 4.20: (a), (b) and (c): SEM images of large TiO2 deposits at the
edges of laser-scribed grooves.
4.9
Atomic Force Microscopy
Atomic force microscopy (AFM) was performed in order to determine the surface roughness of the TiO2 films. The surface roughness can be quantified by the root-mean-squared
116
4. Characterisation of TiO2 Thin Films
Figure 4.21: EDS spectra from the top surface and the grooves of a TiO2
coated BC solar cell after electroless nickel plating.
roughness (RRM S ), which is defined as the standard deviation of the AFM data,
RRM S =
N
− z¯)2
N −1
n=1 (zn
(4.9)
where zn is the height of the nth data, z¯ is the mean height and N is the number of data.
The measurements were performed with a Digital Instruments Nanoscope III microscope
(Electron Microscope Unit, UNSW) in contact mode.
Figure 4.22 depicts the TiO2 surface morphology of an as-deposited CVD film (a), an annealed CVD film (b), and a USD-deposited film (c) over a 1.5 µm × 1.5 µm area. The sample
in (a), deposited at 450◦ C, is relatively smooth for a polycrystalline film and has an surface
roughness of RRM S = 4.9 nm. The surface roughness is observed to increase upon annealing
(1 hr at 950◦ C) to RRM S = 7.9 nm due to growth in the grain sizes. The samples in Figure 4.22 (a) and (b) are the same samples depicted in Figure 4.17(a) and (b). The flatter
regions of the annealed sample observed with SEM (see Figure 4.17(b)) are not visible in
the AFM image. Figure 4.22 (c) depicts a TiO2 film USD-deposited at 450◦ C. The surface
roughness for this film is RRM S = 10.6 nm, and a large variation in film thickness can be
seen. Over a larger area (85 µm × 85 µm) the surface roughness increased dramatically to
RRM S = 32.9 nm and the occasional deep pinhole could be seen.
4.10 Chemical Resistance
117
Figure 4.22: AFM images of (a) as-deposited CVD TiO2 films, (b) CVDdeposited TiO2 film after 1 hr anneal (load 800◦ C and ramp to 950◦ C),
and (c) a USD-deposited TiO2 film.
4.10
Chemical Resistance
Both USD- and CVD-deposited TiO2 films were placed in various chemical solutions. The
chemical resistance of the films were ascertained by observing any colour change and etching
that occurred before and after etching. Table 4.3 summarises the results for both USD- and
CVD-deposited TiO2 films and the time spent in solution. In Table 4.3, a tick (✓) indicates
that no change in colour or the appearance of pinholes could be detected, while a cross (✗)
means that the TiO2 films were significantly etched. Additional explanations are included in
footnotes below Table 4.3. The exact composition of the solutions can be found in Section
2.5. CVD films deposited at 450◦ C were not as chemically resistant as TiO2 films deposited
by USD at the same temperature. All non-annealed TiO2 films were susceptible to etching
from highly alkaline solutions. This included chemicals like NaOH, NH4 OH, and the Cu
plating solution (Enplate 704, Melbourne, pH=11). The resistance against acidic solutions
was very good except for the HF/HNO3 mixture used in the CP etch, which removed films
and began etching the silicon substrate after a few seconds. The green Nickelex solution
(Transene Inc., MA, USA) used had a pH of 4.
118
4. Characterisation of TiO2 Thin Films
Table 4.3: Chemical resistance of both as-deposited annealed TiO2 films.
Chemical
Solution
Saw-damage etch
Groove etch
CP etch
Dilute HF
BHF
RCA1
RCA2
H2 SO4 clean
Nickelex
Copper
Time in USD
Solution
20 min
20 min
2 min
1 min
1 min
5 min
5 min
5 min
2 min
> 3 hr
✗
✓
✗
✓
✓
✗‡
✓
✓
✓
✗∗
CVD
(450◦ C)
CVD
(anneal)
✗
✗
✗
✓
✓†
✗‡
✓
✓
✓
✗
✗
✓
✗
✓
✓†
✗‡
✓
✓
✓
✓
Some etching of the TiO2 film occurred
Rapid etching of TiO2 film occurred when wet samples were placed in BHF solution
‡
Slight etching of all TiO2 films occurred during RCA1 cleaning, therefore an H2 SO4
based cleaning step was used instead
∗
Chemical resistance to basic copper plating solution only achieved after annealing
†
4.11
Conclusions
Extensive characterisation of the USD- and CVD-deposited TiO2 thin films provided very
useful information about the material properties and the behaviour of these properties under
high temperature processing. A surprising result was that the Raman spectra of both asdeposited and annealed (950◦ C for 90 min) samples indicated that the USD-deposited TiO2
films were anatase. This is the highest temperature reported where anatase films have withstood the transformation to rutile. The exact reason for the retardation of the anatase-rutile
phase transformation is not understood, however it is postulated that the thin interfacial
SiO2 layer, grown at the start of the annealing step, could be inhibiting the phase transformation. The IR spectra of TiO2 thin films were able to be modelled well using a factorised
form of the dielectric function. As well as confirming the phase of the USD-deposited TiO2
thin films as that of anatase, the FTIR spectra were able to detect non-stoichiometry in TiO2
films annealed in nitrogen (discussed in detail in Section 5.2.2). Both XPS and RBS spectra
rendered excellent results, which will be discussed fully in Chapters 5 and 6, respectively.
Spectroscopic ellipsometry was found to be a very accurate method for determining the
optical constants of the TiO2 films. The optical properties could be well described by a single
or double Lorentz oscillator model, implemented in the software package WVASE32.62 It was
necessary to use a 50% void/50% TiO2 EMA as a surface roughness model. The refractive
indices of as-deposited (450◦ C) and annealed (950◦ C) USD-deposited TiO2 thin films were
2.449 and 2.458 at 600 nm, respectively. The high refractive indices are indicative of a
4.11 Conclusions
119
dense film (3.64 g/cm3 ). The extinction coefficient of the films remained low at 0.1 or less
for wavelengths greater than 350 nm. The optical properties of CVD-deposited films are
presented in Chapter 7.
SEM images confirmed the dense appearance of the USD-deposited films, although it is
possible that voids exist underneath sections of the film. TiO2 films deposited in an industrial APCVD system exhibited cracks and large numbers of particulates. With the simple
CVD system, as-deposited TiO2 films had grains of about 30 nm diameter and the density
was noticeably less than USD-deposited films. The density was observed to increase with
annealing time, due to a sintering process occurring in the furnace. SEM images and EDS
analysis have shown that the horizontally impinging vapour has prevented TiO2 deposition
in the grooves. Large overhanging TiO2 depositions were observed on both top-edges of the
groove. The surface roughness of USD-deposited films was large at 32.9 nm, however CVDdeposited films were much smoother at 4.9 nm and 7.9 nm, as-deposited and after annealing,
respectively.
The chemical resistance of both USD- and CVD-deposited films was excellent against all
acids, except the aggressive CP etch. The chemical resistance of the films versus basic
solutions was not as good, with slight etching occurring during RCA1 cleaning. The etch
resistance of the films to alkaline solutions improved somewhat after annealing.
120
4. Characterisation of TiO2 Thin Films
Chapter 5
Enhancing the Passivation of
TiO2-coated Wafers
Novel applications for titanium dioxide (TiO2 ) thin films have the potential to reduce production costs of high-efficiency commercial silicon solar cells, especially for structures like the
buried-contact solar cell. This chapter demonstrates, firstly, that a TiO2 film deposited via a
cheap and industrially compatible process and does not contaminate the silicon wafer or furnace after lengthy high-temperature processing. It has been determined that spray-deposited
TiO2 films are, however, sensitive to the furnace ambient. Thermochemistry and FTIR analysis confirmed that reduction of the TiO2 film occurred when samples were loaded into a pure
N2 environment and titanium sesquioxide (Ti2 O3 ) was formed.
It is demonstrated that good surface passivation of lightly diffused n-type solar cell emitters using TiO2 thin films can be achieved when treated with a furnace oxidation process.
Transient-PCD, XPS and SEM measurements indicate that the silicon dioxide layer formed
at the TiO2 :Si interface provides excellent surface passivation. Emitter dark saturation current densities of 4.7 × 10−14 A/cm2 are achieved by this method, demonstrating for the first
time that TiO2 films are compatible with high-efficiency solar cell structures.
5.1
Introduction
Surface passivation is an extremely important design consideration for high-efficiency solar
cells, especially at the front surface where the majority of the light is absorbed. Common methods for Si surface passivation include thermal oxidation at temperatures of about
1000◦ C to grow silicon dioxide (SiO2 ) and plasma-enhanced chemical vapour deposition
(PECVD) of hydrogenated amorphous silicon nitride (a-SiN:H). Although TiO2 thin films
are the prevalent antireflection (AR) coating in the PV industry, one shortcoming of is that
these films afford very little surface passivation to silicon surfaces. Therefore, one aim of
121
122
5. Enhancing the Passivation of TiO2 -coated Wafers
this work was to develop a method for enhancing the level of surface passivation achievable
using commercially-viable TiO2 deposition techniques. The standard buried-contact (BC)
solar cell uses a thermally grown SiO2 layer as its primary dielectric film. The SiO2 film can
easily withstand subsequent high-temperature processes, such as diffusion, oxidation and
aluminium alloying at temperatures up to and beyond 1100◦ C. Therefore, several experiments were performed to investigate the suitability of TiO2 thin films to high-temperature
processing. These experiments examined the stability of the TiO2 films, and whether hightemperature processing of TiO2 thin films deposited using the 97% pure tetraisopropyl titanate (TPT) precursor would result in contamination of the silicon wafer or furnace.
In this work, transient photoconductance decay (transient-PCD) is used extensively in order
to determine the effect that TiO2 thin films, deposited onto the surfaces of silicon wafers,
have on the surface and bulk recombination properties of the sample. This technique has
become a very popular method for determining the bulk minority carrier lifetime (τbulk ) and
emitter dark saturation current density (J0e ) since its conception in 1985.282 In the transientPCD technique, minority carriers are injected into the sample using a flash lamp, and the
conductivity of the sample is monitored using an inductively coupling bridge. Thus, the
method does not require any contacts to the sample. For measurements made with the bulk
region in high injection, the emitter recombination has a quadratic dependence on the excess
carrier density, while recombination in the base exhibits a linear dependence. This enables
the emitter dark saturation current density J0e to be separated from the bulk recombination
or at the surfaces. Thus, for a symmetrical n-type sample with the same n-type diffusion
and dielectric on both surfaces and assuming the minority carrier diffusion length is much
greater than the wafer thickness, the effective minority carrier lifetime τef f extracted from
transient-PCD measurements is related to J0e and τbulk by
1
τef f
=
1
τbulk
+
1
2 J0e ∆p
+
2
q ni W
τAuger
(5.1)
where W is the wafer thickness, ∆p is excess minority carrier (hole) concentration, ni is the
intrinsic carrier concentration and q the electronic charge. After the Auger recombination
term has been subtracted, the J0e can be calculated from the constant slope regions of a plot
of 1/τef f versus ∆p.282
Lightly-doped (1000 Ω cm) n- and p-type float zone (FZ) wafers were used in this work for J0e
and τbulk measurements to minimise bulk recombination. A lightly-doped emitter was used on
both surfaces, firstly, as the J0e will be extremely sensitive to any change in recombination
at the surfaces,22 which makes it a good indicator as to the level of surface passivation
achieved. Secondly, similar lightly diffused emitters are commonly used in the fabrication of
high-efficiency solar cells,23, 283 will be the most responsive to enhanced surface passivation
schemes. Surface recombination was reduced using either thermally grown SiO2 or P2 O5 :SiO2
layers for passivation. All experiments were performed in high injection (∆p ≈ 4×1015 cm−3 ),
where the dopant concentration for the n-type and p-type wafers were 4.4 × 1012 cm−3 and
5.2 Stability of TiO2 at High-Temperatures
123
1.3 × 1013 cm−3 , respectively.
5.2
5.2.1
Stability of TiO2 at High-Temperatures
Titanium Contamination of Silicon
As silicon solar cells are minority carrier devices, maintaining a high effective minority carrier
lifetime is extremely important in order to achieve high conversion efficiency. As can be seen
from Equation 5.1, this can be achieved by minimizing recombination at the surfaces, represented by the emitter dark saturation current density J0e , and maximising the bulk minority
carrier lifetime τbulk . Ensuring that there are no metal ions present during high-temperature
processing steps, for example, is vital to maintain a high τbulk . Even low concentrations of
metal ions can diffuse into the silicon wafer and create regions of high recombination that
can drastically reduce τbulk .
Therefore, there was initially some concern as to whether the TPT precursor or the TiO2
film would introduce contamination into the furnaces and reduce τef f of the silicon wafers.
Of all the 3d transition metals, titanium exhibits the lowest known solubility and diffusivity
in silicon,284 however its diffusivity is still several orders of magnitude greater than that of
dopant atoms like phosphorus and boron. Due to its strong affinity for oxygen and nitrogen,
the diffusion of titanium is hindered by even small amounts of residual air in the furnace
ambient.284
Studies have been published measuring the performance degradation of solar cells that were
fabricated from silicon ingots that had controlled additions of titanium.285–287 An onset of
performance reduction was measured with titanium concentrations of 3 × 1011 atoms/cm3 ,
and at a concentration of 2×1014 atoms/cm3 , the efficiency of a p-type solar cell was reduced
to 37% of its uncontaminated value.287 Additionally, more than an order of magnitude
reduction in the bulk minority carrier lifetime resulted. However, the performance of an
n-type solar cell was only reduced to 80% of its initial value at a Ti concentration of 2 ×
1014 atoms/cm3 (4 parts-per-billion).285 The solid solubility of titanium in silicon is about
1014 atoms/cm3 at 1200◦ C and 1012 atoms/cm3 at 950◦ C.284 In the worst case scenario,
the performance reduction can be calculated by noting that the solid solubility of Ti in Si
is 1.5 × 1012 atoms/cm3 at a typical maximum processing temperature used in a solar cell
fabrication sequence (1000◦ C). This would result in a reduction in efficiency of about 5% for
p-type substrates, but no observable change in efficiency for n-type solar cells. The greater
impact on p-type material can be attributed to the high majority-carrier capture crosssections of titanium donors and acceptors, which have a marked influence on the carrier
lifetime in p-type silicon.287 One paper has also been published, indicating that titanium
124
5. Enhancing the Passivation of TiO2 -coated Wafers
may act as an n-type dopant atom in silicon 1 .
Importantly, it is known that TiO2 layers deposited onto SiO2 layers are very stable. Keddie
et al. determined that there was no intermixing of TiO2 and SiO2 layers after 10 hr at
450◦ C, despite significant densification of both films and crystallisation of the TiO2 film.233
The interface width was measured to be 0.8 nm. Guenther fabricated multi-layer SiO2 /TiO2
coatings using the ion-plating deposition technique and observed that the interfaces were
sharp when depositing SiO2 on TiO2 , but not in the other direction.72, 231 It is assumed that
the titanium ions possess enough energy during deposition to reduce the previously deposited
SiO2 layer. These diminishing interfaces resulted in a lower than expected transmittance
from the multilayer stacks. This phenomenon has not been observed with other deposition
methods. Additionally, it has been noted that crystalline TiO2 (either anatase or rutile)
and SiO2 do not form a mixed compound, although there is some solubility between the
amorphous phases of TiO2 and SiO2 .288
Experiment
Two MiniBrute quartz tube furnaces were available for annealing the TiO2 films, while a
third, larger furnace was equipped with a phosphorus trioxychloride (POCl3 ) liquid dopant
source (Schumacher, CA, U.S.A.). A range of quartz boats enabled high-temperature processing of 4” and 2” diameter wafers as well as quarters of 4” round wafers and 5 × 5 cm2
multicrystalline silicon (mc-Si) wafers. All furnaces were equipped with nitrogen, oxygen,
forming gas (4% hydrogen in argon) and trichloroethane (TCA) for cleaning. The furnaces
were profiled to have a flat-zone of at least 25 cm long with a temperature variation of ±0.5◦ C
across the flat-zone.
The diagram in Figure 5.1 depicts the processing steps included for the “contamination”,
“reduction” and “surface passivation” experiments performed by the author. The substrates
for all experiments were either lightly-doped (1000 Ω cm) n- or p-type float zone (FZ), (100)oriented wafers to minimise the amount of recombination in the base. For ellipsometry
measurements, polished 0.1 − 10 Ω cm n-type FZ wafers were used.
For the ”contamination” experiment in this section, several batches of wafers received a
heavier 10 Ω/2 POCl3 diffusion. The samples were heavily diffused in order to be less
sensitive to the poor level of surface passivation afforded to the silicon wafer by the TiO2
layer. These wafers were loaded into the furnace 800◦ C in O2 before ramping the furnace
temperature up to 950◦ C in an N2 ambient. The total time spent in the furnace was 2 hr.
1
Brown and Grannemann reported that titanium diffused to a depth of 150 nm during a 15 min process
at 1000◦ C from a reduced titanium dioxide (TiO2−x ) film.31
5.2 Stability of TiO2 at High-Temperatures
“Contamination”
125
“Reduction”
“Surface Passivation”
NaOH etch (30%, 85°C, 20 min)
RCA2, RCA1, RCA2, HF dip, DI rinse
POCl3 Emitter
Diffusion, 10 Ω/V
POCl3 Emitter
Diffusion, 175 Ω/V
Dilute HF dip to remove PSG
TiO2 Deposition (both sides, ~70 nm thick, Tdep=450°C)
H2SO4:H2O2:DI (1:1:5), RCA2, DI rinse
Furnace Step:
oxidation (O2) and
anneal (N2)
Furnace Step:
anneal (N2)
Furnace Step:
oxidation (O2) and
anneal (N2)
Figure 5.1: Diagram showing the processes steps used for the “contamination”, “reduction” and “surface passivation” experiments described in
this chapter.
Results and Discussion
Transient-PCD measurements were performed in order to determine whether any degradation in bulk minority carrier lifetime τbulk had occurred due to titanium contamination. It
can be seen from the results in Table 5.1 that τbulk remains above 2 ms in all cases. The
J0e is relatively insensitive to the change in surface conditions due to the heavy phosphorus
diffusion, and varies from 5.6 − 6.9 × 10−13 A/cm2 . FZ wafers with only an emitter diffusion
and no TiO2 coating placed in the furnace adjacent to the TiO2 coated samples also exhibited similarly high τbulk . This indicates that TiO2 thin films, deposited using the same TPT
precursor as used in the PV industry, are compatible with high-temperature processing and
do result in contamination of the silicon wafer.
5.2.2
Reduction of TiO2
While performing the contamination experiments, it was noted that the gas ambient that
the TiO2 -coated wafer was loaded into was critical. This was investigated further to fully
126
5. Enhancing the Passivation of TiO2 -coated Wafers
Table 5.1: Transient-PCD analysis demonstrating that no bulk contamination has resulted from the TiO2 film after processing at 950◦ C for 2
hours.
Transient-PCD
performed after:
10 Ω/2 POCl3 diffusion
TiO2 deposition
950◦ C anneal
τbulk
(ms)
J0e
(A/cm2 )
2.4
2.2
2.1
6.2 × 10−13
6.9 × 10−13
5.6 × 10−13
understand the reactions that were taking place. No changes in the appearance of the TiO2
film were observed if oxygen (O2 ) was present in the furnace when loading the samples (at
800◦ C). However, if the wafers were loaded in pure N2 , a reaction between the TiO2 film and
the silicon substrate occurred. This could be visually observed as regions with a purplish-blue
colour. It was postulated that in the absence of oxygen, the silicon reduces the TiO2 to form
a non-stoichiometric sub-oxide TiOx . Other researchers have noted that non-stoichiometric
Tiy Ox films have a blue, purple, grey-blue or blue-black colour,29, 73, 132–136 depending on the
film stoichiometry. Shannon and Pask demonstrated that the grey colour appeared in rutile
(the high temperature crystalline phase of TiO2 ) films once the O:Ti ratio (x) decreased
from 2 to 1.991.88 Due to the increased number of oxygen vacancies, the optical absorption
in the visible is dramatically increased for TiOx films.43, 58 It is imperative to minimise the
amount of absorption originating from the film for it to act as an efficient antireflection (AR)
coating for a solar cell.
Thermochemistry Analysis
Reactions that take place between thin films and the substrate may be better understood
by considering the thermochemistry of the deposited film in its ambient condition. The
standard free energy change of a reaction ∆G◦ is given by
∆G◦ =
∆f G◦P roducts −
∆f G◦Reactants ,
(5.2)
where ∆f G◦ is the standard free energy of formation for 1 mol of a compound from its
constituent elements in standard states and is given by the Gibbs-Helmholtz equation (at a
constant temperature)
∆f G◦ = ∆f H ◦ − T ∆S ◦ .
(5.3)
In Equation 5.3, ∆f H ◦ and ∆S ◦ are the change in enthalpy (kJ mol−1 ) and entropy (J mol−1
K−1 ), respectively, from O K to the reaction temperature T (K). Once ∆f G◦ is calculated for
each product and reactant in the system, the ∆G◦ for the whole reaction can be determined
from Equation 5.2. For a negative ∆G◦ value, energy is evolved and the reaction proceeds
spontaneously, however when ∆G◦ is positive, energy is absorbed in the process and the
reaction will not proceed spontaneously.
5.2 Stability of TiO2 at High-Temperatures
127
Table 5.2: Enthalpy ∆H ◦ , entropy S ◦ and calculated standard free energy
of formation ∆f G◦ values for various compounds. TiO2 (a) = anatase
and TiO2 (r) = rutile.131, 289–292
∆H ◦ at 298 K
Compound
(kJ mol−1 )
O2
0.0
Si
0.0
SiO2
-910.7
TiO
-519.7
TiO2 (r)
-944.7
TiO2 (a)
-938.7
Ti2 O3
-1520.9
Ti3 O5
-2459.4
TiSi2
-133.9
S ◦ at 298 K ∆f G◦ at 1223 K
(J mol−1 K−1 )
(kJ mol−1 )
205.2
0.0
18.8
0.0
41.5
-961.5
50.0
-580.9
50.3
-1006.2
49.9
-999.7
77.3
-1615.4
129.3
-2617.5
61.1
-208.6
The entropy and enthalpy data of several relevant compounds are given in Table 5.2. Also
included in Table 5.2 are the standard free energies of formation at 950◦ C (1223 K), calculated
using Equation 5.3. The stability of a TiO2 film in an oxygen ambient is demonstrated by
chemical reactions in Table 5.3. In this environment, TiO2 will not react with oxygen to form
either Ti2 O3 or Ti3 O5 . The only reaction with a negative standard free energy of formation
is the reaction of silicon and oxygen to form SiO2 , which proceeds spontaneously at 1223 K.
Table 5.3: The stability of TiO2 in an oxygen ambient is demonstrated
by the standard free energy change ∆G◦ at 1223 K for each reaction. The
rutile phase of TiO2 was used in the reactions.
∆G◦
(kJ)
Possible TiO2 /Si Reactions
2 TiO2 + O2 −→ Ti2 O3 + 12 O2
+395.0
3 TiO2 + O2 −→ Ti3 O5 +
+398.1
3
O
2 2
TiO2 + O2 + Si −→ TiO2 + SiO2
-961.5
In a nitrogen ambient, the situation is somewhat different. Several possible furnace reactions
are given in Table 5.4, along with the associated standard free energy change ∆G◦ at 1223 K
for each reaction. The reactions presented in Tables 5.3 and 5.4 assume that the TiO2 film
is rutile. Although all reduction reactions are able to proceed spontaneously, the formation
of Ti2 O3 with a ∆G◦ = −165.9 kJ has the most negative free energy change. The strong
affinity of the titanium atom for oxygen is evidenced by the large positive standard free
energy change in the case of TiSi2 .
128
5. Enhancing the Passivation of TiO2 -coated Wafers
Table 5.4: Possible reactions between TiO2 and Si in the absence of O2 ,
and the associated standard free energy change ∆G◦ at 1223 K for each
reaction. Nitrogen does not play an active role in the reaction and is
therefore not shown.
Possible TiO2 /Si Reactions
2 TiO2 + Si
4 TiO2 + Si
6 TiO2 + Si
TiO2 + 2 Si
−→
−→
−→
−→
2 TiO + SiO2
2 Ti2 O3 + SiO2
2 Ti3 O5 + SiO2
TiSi2 + O2
∆G◦
(kJ)
-110.9
-165.9
-156.9
+798.0
Experiment
The centre column of Figure 5.1 shows the processing steps for this “reduction” experiment.
Again, n-type 1000 Ω cm FZ (100)-oriented silicon wafers were used. To observe the reduction
of the spray-deposited TiO2 film the samples were loaded at 800◦ C in an N2 ambient, and
the furnace ramped up to 950◦ C. After 2 hr the wafers were unloaded from the furnace. The
reduction of TiO2 was subsequently avoided by supplying oxygen (O2 ) to the furnace for the
first 10 min. The effect of adding oxygen to the gas ambient will be described in detail in
Section 5.3.1.
Results and Discussion
Figure 5.2 compares SEM images of (a) a typical spray-deposited TiO2 film with the familiar
texture arising from columnar grain growth, and (b) the region of the N2 -annealed TiO2 film
that exhibited a bluish-purple hue. The white features in Figure 5.2(b) are precipitates on
the surface, while grain boundaries can be seen in top and bottom left-hand corners. The
dramatic increase in grains size and the change in surface morphology from Figure 5.2(a)
to Figure 5.2(b) would seem to indicate that a sintering process has occurred, resulting in a
smoother film with much larger grain sizes (see Figure 5.2(b)). The J0e of the samples with
a bluish-purple film was typically very high, of the order of 2 − 4 × 10−11 A/cm2 . This is
approximately an order of magnitude higher than that achievable with either a bare silicon
wafer (J0e = 1 × 10−12 A/cm2 ) or a TiO2 -coated wafer (J0e = 4 × 10−12 A/cm2 ). Therefore,
the high J0e observed in this experiment could be due to a metallic compound at the TiOx :Si
interface, which would explain the high surface recombination velocity. Sub-oxides of TiO2 ,
including TiO and Ti2 O3 , are known to exhibit metallic conduction properties.58, 172, 173 The
dependence of the conductivity on stoichiometry was observed to change from less than
10−10 Ω−1 cm−1 for TiO2.00 to 102 Ω−1 cm−1 for TiO1.75 .141
FTIR Analysis
5.2 Stability of TiO2 at High-Temperatures
129
Figure 5.2: SEM image of (a) a typical spray-deposited TiO2 film, and (b)
the region of the N2 annealed TiO2 film that exhibited a bluish-purple hue.
The white features are precipitates on the surface, while grain boundaries
can be seen in top and bottom left-hand corners.
In order to determine which sub-oxide has formed and to understand the nature of the
chemical bonding, FTIR spectroscopic measurements were performed on samples loaded in
O2 and N2 . Figures 5.3(a) and (b) compares the spectra obtained from annealing samples
in an O2 and N2 ambient, and also a bare silicon wafer reference. In Figure 5.3(a), the
absorption peaks at about 260 cm−1 , 360 cm−1 , and 430 cm−1 can be assigned to the anatase
phase of TiO2 .261 However, Figure 5.3(a) shows that the sample annealed in N2 has a
distinctive absorption peak at 493 cm−1 , which cannot be assigned to either the anatase or
rutile phase of TiO2 . The literature discusses two possible origins of an absorption peak
for TiO2 samples in this wavelength range. Erkov et al. noted that, firstly, an absorption
peak at 470 − 480 cm−1 can be attributed to samples with a thin SiO2 layer at the TiO2 :Si
interface.117 Secondly, an absorption peak at 480 cm−1 has also been attributed to the
presence of Ti2 O3 .117, 293
Figure 5.3(a) shows that the sample loaded in O2 does not exhibit a strong, defined absorption peak at 470 − 480 cm. Additionally, the TiO2 samples placed in the furnace in an O2
ambient are known to possess a thin interfacial SiO2 layer (see Section 5.3.1). Therefore,
there is a strong indication that the anomalous absorption peak of the N2 annealed sample is
due to the formation of Ti2 O3 during high-temperature processing in an N2 ambient. Figure
5.3(b) provides further confirmation of this result, as the weak absorption peaks at 3750 cm−1
and 3840 cm−1 can also be attributed to the presence of Ti2 O3 on TiO2 substructure.294
In summary, spray-deposited TiO2 thin films have been demonstrated to exhibit the following
properties when subjected to high-temperature processing.
130
5. Enhancing the Passivation of TiO2 -coated Wafers
Figure 5.3: (a) and (b): FTIR spectra of spray-deposited TiO2 films after
high-temperature processing in an O2 and N2 ambient.
i) TiO2 films do not result in contamination of the silicon wafer or quartz tube furnace.
This was determined by monitoring the bulk minority carrier lifetime of the wafer
before and after TiO2 film deposition and high-temperature processing. Significantly,
a standard commercial 97% pure TiO2 precursor was used for all experiments.
ii) TiO2 films placed in the furnace in an oxygen ambient are stable. After 10 min of O2
the gas flow can be changed to N2 with no adverse effects.
iii) TiO2 films loaded into the furnace in an nitrogen ambient are unstable and the TiO2
is reduced to sub-oxide, most likely titanium sesquioxide Ti2 O3 . Ti2 O3 exhibits very
different optical and electrical properties than TiO2 and is not a suitable AR coating
for a solar cell (see Chapter 2).
5.3
Methods of Achieving Surface Passivation with
TiO2 Thin Films
Surface passivation is an extremely important design consideration for high-efficiency solar
cells, especially at the front surface where the majority of the light is absorbed. In the
previous section, it was demonstrated that spray-deposited TiO2 films are stable under
high-temperature processing, as long as oxygen is present at the start of processing. It
is widely recognized that a stoichiometric TiO2 thin film, such as those deposited using
spray deposition and chemical vapour deposition, on bare silicon affords very little surface
5.3 Methods of Achieving Surface Passivation with TiO2 Thin Films
131
passivation.20, 239, 295, 296 Therefore, this section will investigate the options for capitalising
on the required oxidation step in order to enhance the level of surface passivation possessed
by TiO2 -coated silicon wafers.
A literature review will be presented, and the methods for achieving good surface passivation
with TiO2 films will be discussed. The most common method is to grow a thin thermal SiO2
passivation layer on the silicon wafer and subsequently deposit the TiO2 film, however, more
recently, limited success has been achieved using non-stoichiometric TiOx films without an
SiO2 layer. Following this, experimental results that show that the novel method of growing
SiO2 layers at the TiO2 :Si interface after TiO2 film deposition and method of depositing TiO2
on pre-existing phosphorosilicate glass (PSG) layers provides excellent surface passivation.
Deposition of TiO2 on SiO2
The most common method of achieving good surface passivation in conjunction with TiO2
AR coatings has been to initially grow a thin (5 − 30 nm) thermal SiO2 passivation layer and
subsequently deposit a TiO2 layer.16, 20, 22, 283, 295, 297–301 Thin SiO2 passivation layers have also
been used in conjunction with magnesium fluoride (MgF2 )/TiO2 double-layer AR coatings.150
In that work, no reduction in optical performance was observed for SiO2 thicknesses up to
10 nm. Zhao et al. determined the minimum SiO2 thicknesses for high-efficiency passivated
emitter and rear cells (PERC).283 This was performed by monitoring the Voc and Isc of a
textured and planar solar cell as the oxide layer was etched back. The results showed that
for a textured surface the Voc started decreasing for a SiO2 thickness less than 25 nm, and at
10 nm for a planar surface. For both textured and planar surfaces the Isc started decreasing
for SiO2 thicknesses less than 10 nm.
The performance of BC solar cells fabricated on FZ silicon is limited by the relatively high
rear surface recombination velocity exhibited by the alloyed aluminium high-low p-type
junction.23 For BC solar cells fabricated on Czochralski (CZ) grade c-Si or multicrystalline
silicon (mc-Si) wafers the lower bulk lifetime, typically 20 µs, places additional performance
limitations on the device.23 The result is that a poorer front surface recombination velocity
caused by using 5 nm-thick SiO2 passivation layers, for example, may not result in a noticeable decrease in performance. Additionally, these thinner passivation layers increase the
optical transmittance of the TiO2 /SiO2 stack and improve device performance. Thin SiO2
layers (5 − 6 nm) have also been demonstrated to provide good surface passivation in some
high-efficiency solar cell designs.8 The sensitivity of the surfaces will be somewhat dependent
on the doping profile of the emitter. Honsberg et al. published J0e results of TiO2 -coated
emitters with a thin SiO2 layer.20 An excellent result of 4 × 10−14 A/cm2 was achieved. The
TiO2 /SiO2 stack has been demonstrated to be compatible with high-efficiency solar cells,
with Voc ’s as high as 679 mV being achieved in practice.298
132
5. Enhancing the Passivation of TiO2 -coated Wafers
Growth of SiO2 at the TiO2 :Si Interface
Researchers have previously observed that oxygen is capable of diffusing through TiO2 thin
films to form a SiO2 layer at the TiO2 :Si interface,31, 75, 89, 91, 114, 167, 179, 195, 207, 224, 226, 230, 302, 303
however none have fully explored the potential until now.35, 50 Previously, the formation
of SiO2 has been observed during the fabrication of super-thin capacitors and MOSFETs,
which take advantage of the high dielectric constant of TiO2 .31, 75, 89, 167, 224, 226, 302 Brief oxidations are performed on such samples in order to reduce the leakage current. However, if
the oxidation temperature is too high, the thin SiO2 layer that forms at the interface can
be detrimental to the device performance, drastically reducing the effective dielectric constant.31, 197, 230 In the field of PV, three research groups have noted that SiO2 can form at
the TiO2 :Si interface upon heat treatments.114, 217 In the work of Wong and Waugh,217 the
TiO2 films were being applied to SP solar cells, which are not able to benefit from improved
surface passivation due to the phosphorus “dead-layer” at the top surface. However, it was
noted that the films were porous and oxygen could diffuse through the TiO2 film. Szlufcik et
al. noted only that the reflectance of the TiO2 AR coating increased after heat treatments at
900◦ C, which was attributed to the formation of SiO2 at the interface. Murozono et al. observed SiO2 growth underneath TiO2 AR coatings (using Auger electron spectroscopy), when
the samples were fired at temperatures up to 1000◦ C, but the concept of surface passivation
was not investigated.89
The composition of such thin interfacial oxides has also been described in the literature. In
the majority of publications related to MOS devices, the interfacial layer is simply assumed
to be SiO2 because lower than expected dielectric constants were observed.91, 179, 195, 230 Auger
electron spectroscopy (AES) has been used successfully to identify the interfacial layer as
being SiO2 .31, 89 Using RBS, Gartner et al. found that a 9 nm thick SiO2 had formed at the
interface after a 1 -hr oxidation at only 300◦ C.207 The research performed by Campbell et
al. has shown that the dielectric constant of the interfacial oxide is not as low as for pure
SiO2 .75, 167, 224, 226, 302, 303 Therefore, it was postulated that the observed 2 − 3 nm thick layer
is an amorphous mixed Ti-O-Si oxide. This appears to be likely when considering results
that indicate that the very first stages of TiO2 film deposition on a silicon wafer result in
an amorphous TiO2 film.90, 96 Thus, it can be imagined that the initial SiO2 growth at
the TiO2 :Si interface may mix with the amorphous TiO2 region. Lee observed that nonstoichiometric TiOx oxides at the interface lead to a large leakage current in DRAM cells,
and found that it was necessary to include a thin (1 nm) thick SiO2 layer to improve device
performance.113
Non-stoichiometric TiOx
Non-stoichiometric TiOx films are typically deposited using physical vapour deposition methods, such as evaporation or sputtering. In this scenario, film growth does not occur due to
5.3 Methods of Achieving Surface Passivation with TiO2 Thin Films
133
a chemical reaction within the system and the oxygen concentration in the ambient plays a
critical role in determining the stoichiometry of the film. Three works have demonstrated
that some degree of surface passivation can be achieved with non-stoichiometric TiOx thin
films deposited directly onto a silicon wafer, however the application of non-stoichiometric
films is not pursued in this work.
Wohlgemuth et al. postulated that the higher voltages observed for solar cells with a spray
deposited TiOx AR coating was due to reduced surface recombination velocity at the n + Si
surface.49 Crotty et al. demonstrated that solar cells with a TiOx AR coating had a similar
open-circuit voltage (Voc ) and efficiency (646 mV and 17.5%) to cells that possessed an 8−10
nm thick SiO2 passivation layer at the TiOx :Si interface.297 This method was dependent on
the samples undergoing a 400◦ C anneal for 5 min in a hydrogen ambient, otherwise the opencircuit voltage and efficiency remained low at about 626 mV and 13%, respectively. More
recently, Doeswijk et al. deduced an improvement in surface passivation after depositing
TiOx films by laser ablation, based on modulated free carrier absorption measurements.239
The improved passivation achieved with this film was attributed to fixed positive charges
at the TiOx :Si interface, resulting from the oxygen deficiency in the films. The fixed charge
reduces the recombination by forming an electric field, which bends the energy bands near
the surface of the wafer.
5.3.1
Growth of SiO2 at the TiO2 :Si Interface
By initially depositing TiO2 onto the silicon wafer and subsequently performing a brief
oxidation, a thin SiO2 layer can be formed at the TiO2 :Si interface. The possibility of
achieving this result was noted from thermochemistry analysis performed earlier, which
showed that the presence of TiO2 and silicon in an oxygen ambient at 950◦ C strongly favoured
the formation of SiO2 (refer to Table 5.3). The formation of a TiO2 /SiO2 passivation stack
in this manner, offers several potential advantages over the previously described scheme of
depositing TiO2 onto a thermally grown SiO2 layer. These include:
i) The ease of chemical processing, due to the excellent chemical resistance of polycrystalline TiO2 .35, 36
ii) The TiO2 film may act as a diffusion barrier to other elements during the high temperature processing stage, preventing contaminants from reaching the silicon wafer.
iii) The stoichiometry of the film is ensured as the oxygen (O2 ) diffuses through the TiO2
layer, removing any oxygen deficiencies.34, 99 This is beneficial for reducing the level of
optical absorption observed in non-stoichiometric TiO2 films deposited by evaporation
or sputtering techniques.138
134
5. Enhancing the Passivation of TiO2 -coated Wafers
iv) Carbon contamination, resulting from the organo-metallic precursor, is reduced after
high temperature processing due to the decomposition of carbonate species.64, 99
v) The refractive index of the film can be tuned by adjusting the deposition and annealing
temperatures.148
vi) The method of surface passivation presented here is applicable to all TiO2 films that
are able to undergo a brief high-temperature oxidation. To the authors knowledge,
this may only exclude highly stressed films deposited by techniques such as PECVD.
An additional advantage of the TiO2 /SiO2 stack is that its passivation properties do not
degrade under concentrated sunlight, unlike an SiO2 film.300 This is attributed to the absorption of ultraviolet photons by the TiO2 layer.
Experiment
The aim of this “surface passivation” experiment (see Figure 5.1) was to determine whether
good quality surface passivation could be achieved by, first, depositing TiO2 onto silicon
wafers, and then subsequently subjecting the wafers to a brief, high-temperature oxidation
process.
The wafers used for this experiment were n-type, high-resistivity 1000 Ω cm FZ Si(100). All
seven wafers were etched in a sodium hydroxide solution, cleaned in RCA1 and RCA2,194
dilute hydrofluoric acid (HF), rinsed in deionised (DI) water, and blown dry with nitrogen
(N2 ) before receiving a 175 Ω/2 phosphorus diffusion at 800◦ C. A lightly-doped emitter was
used, firstly, as the J0e will be extremely sensitive to any change in surface passivation.22
Secondly, similar lightly diffused emitters are used in the fabrication of high-efficiency solar
cells.23, 283 Then, the phosphorosilicate glass (PSG) was removed and the wafers well rinsed
in DI water and blown dry. Samples 1 − 3 received a spray-deposited polycrystalline TiO2
coating (≈ 70 nm thick) on both sides, with the wafers sitting on a heater block maintained
at 450◦ C. The processing steps for these samples are shown in Figure 5.1.
Subsequently, the wafers were RCA cleaned again and then loaded into a quartz tube furnace
for the post-TiO2 oxidation. The loading temperature was 800◦ C and the ambient O2 :N2
(1:1). After loading, the temperature was ramped to 950◦ C at a rate of 10◦ C/min, and the
O2 was switched off after 10 min, leaving a N2 ambient to anneal the samples. The wafers
were removed from the furnace at 950◦ C after a further 80 min.
As a control, samples 4−7 received an initial oxidation (10 min at 800◦ C) after removal of the
PSG. These wafers then underwent TiO2 deposition and oxidation, as per samples 1−3. The
thickness of the PSG, and initial and post-TiO2 oxide layers were measured using a G¨artner
L116A ellipsometer (λ = 633 nm) and were found to be 30 nm, 5 nm and 7.5 nm, respectively.
Transient-PCD measurements were performed in high injection (∆p ≈ 4 × 1015 cm−3 ) after
5.3 Methods of Achieving Surface Passivation with TiO2 Thin Films
135
each process step in order to determine the emitter saturation current density and to monitor
the minority carrier bulk lifetime.282
Results and Discussion
The cross-sectional SEM image in Figure 5.4(a) depicts the as-deposited TiO2 on the silicon
substrate, while the growth of a new layer at the TiO2 :Si interface can be seen in the
SEM image shown in Figure 5.4(b). The TiO2 , which has peeled away from the interfacial
SiO2 layer and substrate during cleaving, seems to be a dense and continuous film, and the
interfaces appear to be abrupt. From Figure 5.4(b), the thickness of the TiO2 film and the
newly formed interfacial layer are about 67 nm and 6 nm, respectively.
Figure 5.4: SEM images (10◦ tilt) showing (a) the TiO2 :Si interface before
oxidation, and (b) the 6 nm interfacial layer grown during the post-TiO2
oxidation (sample 2).
Results of XPS analysis performed at UNSW are shown in Figure 5.5. The top surface of
the TiO2 film is at t=0 s, where the Ti:O ratio is about 1:2. A broad SiO2 peak can be
seen, centred at t=1600 s. Artifacts of the XPS technique, namely preferential sputtering
and islanding,304 make the SiO2 film appear to be much thicker than is indicated in the SEM
image. There is a small amount of carbon adsorbed onto the surface of the TiO2 film, due
to the samples being stored in air. The use of an organic precursor may have also resulted
in some carbon being retained in the film,89 however the high temperature oxidations are
known to reduce these levels significantly.99
The J0e of the wafers after phosphorus diffusion, measured with the PSG on both surfaces,
was 2.6 × 10−13 A/cm2 . The results of transient-PCD measurements after TiO2 deposition
and the subsequent oxidation are displayed in Table 5.5. The J0e of all samples exhibits a
marked increase after TiO2 deposition due to the poor surface passivation properties of this
136
5. Enhancing the Passivation of TiO2 -coated Wafers
Figure 5.5: XPS analysis chemically identifying the interfacial layer as
SiO2 .
oxide. However, the most significant result is that the J0e of all TiO2 coated wafers is shown
to decrease to 4.5 − 7.7 × 10−14 A/cm2 after the post-TiO2 oxidation. In some cases, the
increase in surface passivation has decreased the J0e by nearly two orders of magnitude. The
poorer passivation (J0e = 2.4 × 10−12 A/cm2 ) of samples 4 − 7 before post-TiO2 oxidation can
be attributed to a poor-quality, non-annealed SiO2 layer grown during the initial oxidation
step. Notably, this did not limit the final J0e values. The J0e values achieved here with
60 nm thick SiO2 layers compare favourably with the results achieved for high-efficiency,
buried-contact solar cells (7.4 × 10−14 A/cm2 ), with 158 Ω/2 emitters and thermal oxide
passivation.22
Table 5.5: Emitter saturation current density (J0e ) results measured using
transient-PCD. Samples 4 − 7 received an initial oxidation prior to TiO2
deposition, while samples 1 − 3 did not.
J0e (A/cm2 )
Sample After TiO2 After Post-TiO2
Number Deposition
Oxidation
1
2
3
1.8 × 10−12
1.9 × 10−12
1.9 × 10−12
5.1 × 10−14
4.7 × 10−14
7.7 × 10−14
4
5
6
7
3.9 × 10−12
4.0 × 10−12
4.1 × 10−12
4.0 × 10−12
6.6 × 10−14
4.5 × 10−14
7.6 × 10−14
5.4 × 10−14
The phosphorus pre-deposition step results in a relatively heavily doped and shallow emitter with a surface concentration of 2.0 × 1020 atoms/cm3 and a junction depth of 0.01 µm.
5.3 Methods of Achieving Surface Passivation with TiO2 Thin Films
137
After high-temperature processing the phosphorus surface concentration is reduced to
5.6 × 1018 atoms/cm3 and the junction depth increased to of 0.32 µm. Although some redistribution of the dopant atoms has occurred during oxidation, the shift in profile cannot
fully account for the larger reduction in J0e . Additionally, as the TiO2 films deposited in
this work are stoichiometric, the improvement in surface passivation cannot be ascribed to
fixed charges within the films. Thus, the large reduction in J0e observed after the hightemperature oxidation is attributed to the improved surface passivation afforded by the thin
interfacial SiO2 layer.
5.3.2
TiO2 on PSG
A simple experiment was performed in order to determine the level of passivation achievable
by depositing TiO2 films directly onto the thin phosphorosilicate glass (PSG, P2 O5 :SiO2 )
layer remaining after the emitter diffusion step. The use of an existing oxide layer for
passivation purposes is of interest for commercially produced solar cells. If successful, it
would obviate several steps in a fabrication process, including wet-chemical etching of the
PSG layer and the high-temperature growth of an additional passivation layer.
Experiment
Four p-type 1000 Ω cm FZ wafers received a phosphorus emitter diffusion (825◦ C for 10 min)
from a phosphorus oxychloride (POCl3 ) source. The POCl3 and O2 concentrations were both
1.5%. This pre-deposition step was followed by a drive-in at 950◦ C for 90 min in the same
furnace tube. This resulted in a relatively deep emitter with a sheet resistance of 100 Ω/2
and a PSG thickness of 5 nm. TiO2 films (≈ 70 nm thick) were subsequently spray-deposited
on both surfaces of wafers 1 and 2 only. These wafers were loaded into an oxidation furnace
at 800◦ C. The ambient gases were a mixture of both 02 and N2 (3.2 slpm each). The furnace
was ramped to 950◦ C with the O2 being left on for the first 10 min. The wafers remained in a
N2 ambient for another 80 min before being unloaded at 950◦ C. Samples 3 and 4 received the
same emitter diffusion, however the PSG layer was subsequently removed and a thin (50 nm,
sample 3) and thick (1000 nm, sample 4) SiO2 layer was thermally grown. The thin SiO2
layer for sample 3 was grown using the same conditions as the post-TiO2 oxidation above,
while the thick SiO2 layer for sample 4 was grown by loading the sample in dry O2 at 800◦ C
and ramping the furnace up to 950◦ C for a total of 90 min. Therefore, all samples received
exactly the same high-temperature process, with only the gas ambient being altered.
138
5. Enhancing the Passivation of TiO2 -coated Wafers
Results
Table 5.6 shows the J0e (in A/cm2 ) measured using transient-PCD analysis. It can be seen
that the J0e increases slightly after TiO2 deposition. The sensitivity of the measurement is
due to the minimal thickness of the PSG and the relatively lightly-doped emitter. Finally, it
should be noted that after a subsequent oxidation step and anneal, the J0e reduces further
by a factor of 2 − 3. There was no evidence of any reaction between the TiO2 film and the
PSG layer, before or after high-temperature processing. Table 5.6 also shows the measured
J0e of SiO2 passivated wafers with the same emitter. The passivation achieved with a thin,
5 nm-thick SiO2 layer (sample 3) is very similar to that of the same thickness PSG-layer
with a TiO2 coating (sample 2). Improved surface passivation is afforded by the 100 nmthick SiO2 layer with a dark saturation current density of 4.1 × 10−14 A/cm2 being achieved.
Table 5.6: Emitter saturation current density (J0e ) results measured using transient-PCD. The 5 nm-thick PSG that resulted from the 100 Ω/2
emitter diffusion step was left on the wafer. Only Samples 1 and 2 had
TiO2 deposited on them. Samples 3 and 4 had the PSG layer removed
and a thin (5 nm, sample 3) and thick (100 nm, sample 4) SiO2 layer was
thermally grown.
Sample After POCl3
Number
Diffusion
1
2
3
4
1.4 × 10−13
1.5 × 10−13
1.3 × 10−13
1.3 × 10−13
J0e (A/cm2 )
After TiO2 After Post-TiO2
Deposition
Oxidation
1.8 × 10−13
2.2 × 10−13
−
−
9.7 × 10−14
7.7 × 10−14
7.5 × 10−14
4.1 × 10−14
Discussion
From Table 5.6 it can be seen that a J0e of 1.8×10−13 can be achieved for TiO2 on P2 O5 :SiO2
layers, with thicknesses of 70 nm and 5 nm, respectively. This J0e result would limit the Voc
of a solar cell with such a 100 Ω/2 emitter to 671 mV (for an assumed Jsc of 33 mA/cm2 ),
which is much greater than a commercially produced BC solar cell (e.g., the best BC solar cell
fabricated by BP Solar cell exhibited a Voc of 636 mV15 ). Hence, such a combined emitter and
passivation scheme would not be the limiting factor in the solar cell. The J0e values of wafers
passivated with SiO2 only indicate, firstly, that very similar passivation can be achieved with
either PSG or SiO2 layers. Secondly, although thicker passivation layers result in a lower
J0e , these films would exhibit greater optical (reflectance) losses than their electrical gains.
5.4 Conclusions
139
One disadvantage of such a passivation scheme is the tight control that is required on the
PSG thickness. For the method to be commercially feasible the PSG layer thickness would
have to be maintained between 5 − 10 nm. As discussed in Section 5.3, films thinner than
5 − 6 nm have not been successfully implemented in a high-efficiency solar cell design, while
thicker films will increase optical losses due to reflection. A thickness of 5 − 10 nm of SiO2 is
relatively easy to control by varying the oxidation time and temperature, however the growth
rate of P2 O5 :SiO2 is much higher. A second potential disadvantage of having a PSG layer
adjacent to a TiO2 layer is that a reaction between the two films may occur slowly over time.
The mechanism for such a reaction is discussed in Chapter 6. The reaction results in a new
compound being formed which has both poor optical and passivation properties. No visual
signs of a reaction could be observed in these films, however at lower P2 O5 concentrations
the reaction may still occur over a longer period of time.
5.4
Conclusions
The experiments performed in this chapter have demonstrated that TiO2 is compatible
with high-temperature processing without contaminating the wafers or furnaces. The bulk
minority carrier lifetimes of samples placed in a furnace for 2 hr at 950◦ C were maintained
at greater than 2 ms. It was found that the spray-deposited TiO2 films are sensitive to the
initial gas ambient in the furnace. Samples loaded in oxygen were stable, however TiO2
films loaded directly into a nitrogen ambient were reduced to a sub-oxide, most likely Ti2 O3 .
The formation sub-oxide was predicted using thermochemistry analysis and confirmed using
FTIR spectroscopy.
Other researchers have demonstrated that some level of surface passivation can be achieved
using non-stoichiometric TiOx thin films. However, many unanswered questions remain
regarding the use of TiOx films, including, firstly, the limits of such a passivation scheme.
Secondly, greater knowledge regarding the range of stoichiometries (x values) that afford
good surface passivation is required. Thirdly, the exact origin of the surface passivation
mechanism needs to be examined, and the role of hydrogen and oxygen vacancies studied.
Finally, considering the strong affinity that the titanium atom has for oxygen, the long-term
stability of the TiOx passivation scheme needs to be carefully examined.
Importantly, the results of experiments performed in this work have indicated the presence
of a thin SiO2 layer, formed by oxidizing the wafer after TiO2 film deposition. This was
confirmed using SEM images and XPS analysis. The increase in surface passivation afforded
by the interfacial SiO2 layer results in a decrease in J0e by nearly two orders of magnitude
from ∼ 2 × 10−12 A/cm2 after TiO2 deposition to 4.7 − 7.7 × 10−14 A/cm2 . This demonstrates
the ability of the TiO2 /SiO2 AR coating to provide excellent surface passivation. The low
J0e and high τbulk values demonstrated here are compatible with high-efficiency solar cells
140
5. Enhancing the Passivation of TiO2 -coated Wafers
with an open circuit voltage of the order of 700 mV. Any slight reduction in AR coating
performance due to the inclusion of the low refractive index SiO2 layer is far outweighed by
the passivation benefits.22
Finally, it is also demonstrated in this work that a J0e of 1.8 × 10−13 A/cm2 can be achieved
by depositing a TiO2 film directly onto a 5 nm-thick PSG layer that remains after a 100 Ω/2
phosphorus emitter diffusion. For an assumed Jsc of 33 mA/cm2 , a J0e of this magnitude
would limit the Voc to 671 mV, which is more than sufficient for commercially produced
silicon solar cells. The long term stability of this passivation scheme remains unknown, and
possibility of reactions between the PSG and TiO2 layers exist.
Chapter 6
Performance of TiO2 Thin Films as a
Phosphorus Diffusion Barrier and
Dopant Source
The ability of a dielectric film to act either as a phosphorus dopant source or a phosphorus
diffusion barrier would enable a solar cell fabrication sequence to be simplified. In the former case, the phosphorus is incorporated into the antireflection coating and fired at a later
time, allowing the P-atoms to diffuse into the silicon. The diffusion barrier is particularly
relevant for the buried-contact (BC) solar cell fabrication sequence, where the dielectric film
is required to prevent phosphorus from reaching the lightly-doped emitter during the heavy
groove diffusion step.
The performance of TiO2 thin films in both of these roles were investigated. It was discovered that TiO2 and P2 O5 are prone to react chemically at high enough temperatures and
concentrations, and that the P-dopant atom significantly alters the properties of the TiO2
film. Thermochemistry analysis and Rutherford backscattering spectroscopy are used to determine what chemical reactions are occurring, and the likelihood of success of both of these
simplification schemes in the buried-contact solar cell process.
6.1
Introduction
This chapter investigates the performance of TiO2 thin films as a barrier to phosphorus diffusion as well as a phosphorus dopant source. If TiO2 could successfully act as a phosphorus
diffusion barrier it could most likely be used a direct replacement for the thermally grown
silicon dioxide (SiO2 ) layer implemented in the original buried-contact (BC) solar cell. As
well as reducing the number of high-temperature processing steps, this would significant
reduce the processing time and cost.
141
142
6. Performance of TiO2 Thin Films as a Phosphorus Diffusion Barrier and Dopant Source
The first two steps in a typical commercial silicon solar cell fabrication sequence are, firstly,
emitter formation and, secondly, AR coating deposition. The possibility of combining these
two deposition steps by using a phosphorus-doped titanium dioxide (P:TiO2 ) thin film is
also investigated in this chapter. If successful, this would enable the AR coating and emitter
dopant source to be deposited in a single low-temperature step, the phosphorus dopants
diffusing out from the film and forming an emitter during a subsequent high-temperature
process. This could greatly simplify either a solar cell fabrication sequence.
6.2
TiO2 as a Phosphorus Diffusion Barrier
There are several requirements for a stable thin-film diffusion barrier. Firstly, to minimise
chemical interdiffusion, the barrier should ideally be a large-grain polycrystalline thin film to
reduce grain boundary diffusion.305 Secondly, to prevent chemical interaction the chosen material must have a large standard free energy of formation ∆G◦f .305, 306 This thermodynamic
criterion minimises the chance of a reaction proceeding as described in Equations 5.2 and
5.3. Thus, it is recommended to choose a material such as oxides, nitrides, and transition
metal nitrides, borides and silicides.306 Also, the presence of excess nitrogen, carbon, boron,
or oxygen in the film can increase its effectiveness as a diffusion barrier.307 It should be
noted that diffusion barriers do not eliminate the driving force for diffusion caused by the
concentration gradient, but merely reduce rate of interdiffusion.
The majority of the work performed on diffusion barriers has focussed on preventing interactions between aluminium and silicon, thus allowing the microelectronics industry to
continue to use aluminium contacts under increasingly demanding processing conditions.305
There has been very little work in the literature reporting the use of TiO2 as a barrier to
phosphorus diffusion. The only reference to TiO2 acting as a phosphorus diffusion barrier
was made in passing by Drynan et al.308 In that work, it was observed that when titanium
metal films were deposited onto silicon, the titanium reacted with the silicon native oxide
to form non-stoichiometric TiOx , and this TiOx layer appeared to limit the diffusion of
phosphorus. TiO2 thin films have been demonstrated to act as a diffusion barrier to various other compounds, such as lead titanate,309 and also between copper and bismuth,310
and between platinum-based metal contacts and silicon.311–313 Differing experimental results
have been achieved with TiO2 acting as a diffusion barrier to hydrogen (H). Spiegel et al.
reported that APCVD-deposited TiO2 films acted as a out-diffusion barrier to hydrogen.314
In contrast, Liang et al. found that the sheet resistance of H-doped TiO2 thin films increased
from 30 Ω/2 to 500 Ω/2 after two days.58 The increased sheet resistance was attributed to
the out-diffusion of hydrogen from the film. Tang et al. postulated that since anatase has a
less dense and more defected structure than rutile, this could favour impurity diffusion into
the material.120
6.2 TiO2 as a Phosphorus Diffusion Barrier
143
Thermochemistry Analysis
Thermochemistry analysis is used to predict any reactions that may take place between the
TiO2 film and the phosphorus containing furnace ambient. The analysis performed here
assumes that the POCl3 and O2 have fully reacted upon entering the neck of the furnace
together, forming phosphorus pentoxide (P2 O5 ) vapour, which is then deposited as a solid
onto the surfaces of the wafer.315 Therefore, the chemical reactions postulated here are
between the compounds P2 O5 and TiO2 . The entropy and enthalpy data of the compounds
discussed in this chapter are given in Table 6.1, along with the standard free energies of
formation at 950◦ C (1223 K), calculated using Equation 5.3. The thermodynamic data is
taken from the literature.131, 289–291
Table 6.1: Enthalpy ∆H ◦ , entropy S ◦ and calculated standard free energy
of formation ∆f G◦ values for various compounds. TiO2 (a) = anatase,
TiO2 (r) = rutile, (g) = gas, (s) = solid.131, 289–291
Compound
∆H ◦ at 298 K
(kJ mol−1 )
O2
Si
SiO2
TiO2 (r)
TiO2 (a)
(P2 O5 )2 (g)
(P2 O5 )2 (s)
TiPO4
TiP2 O7
TiP3 O9
0.0
0.0
-910.7
-944.7
-938.7
-3904.1
-3009.9
-1671.5
-2539.7
-3255.2
S ◦ at 298 K ∆f G◦ at 1223 K
(J mol−1 K−1 )
(kJ mol−1 )
205.2
18.8
41.5
50.3
49.9
404.0
228.8
96.7
164.8
210.9
0.0
0.0
-961.5
-1006.2
-999.7
-4398.2
-3289.7
-1789.8
-2741.3
-3513.1
The six most likely reactions to occur at 950◦ C and the standard free energy change ∆G◦
of each reaction, are shown in Table 6.2. Care must be taken when calculating the heats
of formation in thin films as some compound phases present in the bulk phase are not
observed with thin films.316 Additionally, there is also the consideration of the flux of
elements to the reacting surface, as not all elements in the system will be available in the
same concentration at the same time to the reacting surface. From Table 6.2 it is noted
that TiO2 will react spontaneously with P2 O5 to form titanium diphosphate (TiP2 O7 ) or
titanium triphosphate (TiP3 O9 ), but the reaction to form titanium phosphate (TiPO4 ) does
not proceed spontaneously at 950◦ C. However, if silicon is available to the reaction, noting
that silicon and P2 O5 are initially present on opposite sides of the TiO2 films, any of the
previously mentioned titanium phosphate compounds (TiPx Oy ) may be formed.
144
6. Performance of TiO2 Thin Films as a Phosphorus Diffusion Barrier and Dopant Source
Table 6.2: Proposed chemical reactions between TiO2 and P2 O5 and their
standard free energy change ∆G◦ at 1223 K.
∆G◦ (kJ)
Proposed Chemical Reaction
TiO2 + P2 O5 −→ TiP2 O7
2 TiO2 + P2 O5 −→ 2 TiPO4 +
2 TiO2 + 3 P2 O5 −→ 2 TiP3 O9 +
O2
1
2
+78.5
O2
-78.5
4 TiO2 + Si + 2 P2 O5 −→ 4 TiPO4 + SiO2
-804.6
TiO2 + Si + P2 O5 + O2 −→ TiP2 O7 + SiO2
-1051.3
2 TiO2 + Si + 3 P2 O5 +
6.2.1
-89.8
1
2
1
2
O2 −→ 2 TiP3 O9 + SiO2
-1040.0
Experiment
Several batches of experiments were performed to confirm the results predicted from thermochemistry analysis - that some reaction between TiO2 and P2 O5 would occur, resulting
in the formation of a TiPx Oy compound. The substrates used for both diffusion barrier
and dopant source experiments were p-type 1000 Ω cm float zone (FZ) (100)-oriented silicon
wafers. The diagram in Figure 6.1 depicts the processing steps foe the “diffusion barrier” as
well as the “dopant source” experiments. The latter experiment is described in Section 6.3.
Five batches of experiments with eight wafers each were undertaken to determine the effectiveness of spray-deposited TiO2 as a phosphorus diffusion barrier. The wafers were loaded
into a POCl3 furnace at 800◦ C and oxidised for 10 min, before the temperature was ramped
up to 925◦ C in N2 . The POCl3 and O2 concentrations were 1.5% and these gases were left
on for 10 min. A drive-in was performed at 950◦ C for 90 min in N2 before unloading the
wafers. This recipe is typically used to create deep emitters with a sheet resistance of about
45 − 50 Ω/2. Heavier phosphorus diffusions (5 Ω/2) were performed by leaving the POCl3
and O2 flows on for 90 min at 950◦ C.
6.2.2
Results
Upon removing the diffusion barrier samples from the POCl3 furnace, it was noted that
there were yellow flecks on the surface of the dark blue TiO2 film surface. The experiments
were repeated several times with phosphorus diffusions as heavy as 5 Ω/2. For the heavier
diffusions it was evident that a reaction between the TiO2 film and the phosphorus had
occurred in the furnace, as there were silvery-white, opaque areas on the surface of the film,
a significant fraction of the film had a yellow colour to it, while a only a small area remained
dark blue. An image of the latter sample is shown in Figure 6.2. In order to determine the
nature of the resulting compound, transient-PCD, microwave-PCD, conductivity, and RBS
6.2 TiO2 as a Phosphorus Diffusion Barrier
“Diffusion Barrier”
145
“Dopant Source”
NaOH etch (30%, 85°C, 20 min)
RCA2, RCA1, RCA2, HF dip, DI rinse
TiO2 Deposition
(both sides,
~70nm thick,
Tdep=450°C)
P:TiO2 Deposition
(both sides,
~70nm thick,
Tdep=450°C)
H2SO4:H2O2:DI (1:1:5), RCA2, DI rinse
Furnace Step:
5–50 Ω/
POCl3 Diffusion
V
Furnace Step:
oxidation (O2)
and anneal (N2)
Figure 6.1: Diagram showing the processes steps used for TiO2 as a
phosphorus diffusion barrier and TiO2 as a phosphorus dopant source
experiments.
measurements were performed.
Opaque region
Dark blue
region
Yellow
region
Figure 6.2: Image of a TiO2 -coated wafer after a heavy (5 Ω/2) phosphorus diffusion. A small area of dark blue coloured film remains, while
the majority of the film has a either a yellow or an opaque, silvery white
colour.
146
6. Performance of TiO2 Thin Films as a Phosphorus Diffusion Barrier and Dopant Source
PCD and Conductivity Measurements
Transient-PCD analysis was performed in an attempt to determine the effect of the reaction
on the surface quality (J0e ) and minority carrier bulk lifetime (τbulk ). The J0e had increased
considerably to 1 − 5 × 10−12 A/cm2 , primarily due to the poor surface passivation. Mapping
of τef f was performed with a microwave-PCD system, however there did not appear to be
a relationship to the value of τef f and the white, yellow, or blue regions of the sample.
The conductivity of the films was measured using the four-point probe technique. It was
found that conductivity increased from the blue region (no measurable conductivity) to
the yellow region (able pass a small current through the film) to the white region (about
700 Ω/2). The samples were placed undiluted 48% HF for 24 hours, which slowly etched
away the white regions, however the blue regions remained. The remaining blue TiO2 film
was removed instantly by subsequently placing the wafer in undiluted NH4 OH. The measured
conductivity of the diffused layer on the silicon wafer varied from 6000 Ω/2 underneath the
white region to > 200000 Ω/2 under the blue region. A hot probe test indicated that an
n-type diffusion was present on the surfaces of the p-type wafer. Therefore, it is assumed
that a small amount of phosphorus was able to diffuse through the TiO2 film and into the
silicon wafer.
RBS Measurements
Rutherford backscattering spectroscopy (RBS) allows the determination of the masses of
the elements within a sample, their depth distribution within a 10 nm resolution, and their
crystalline structure. Figure 6.3 compares the different RBS spectra obtained from (a) a
yellow region, and (b) a silvery-white region of the sample. Curve fitting using the software
package RUMP272 suggests that a significant amount of phosphorus has been incorporated
into the film. There are two notable differences between the spectra. Firstly, a large amount
of silicon has been incorporated into the film in the white region, resulting in the formation
of a TiPx Siy Oz (see Figure 6.3(b)) compound instead of the TiPx Oy compound observed
in the yellow region. Secondly, the thickness has dramatically increased from about 70 nm
before being placed in the furnace, to 100 nm in yellow regions and 300 nm in the opaque,
white regions. A similar phosphorus concentration is observed in both spectra, and the
stoichiometry at the surface is similar in both regions.273
6.2.3
Discussion
There is no exact correlation between a likely product from the thermochemistry analysis
and the compounds detected using RBS analysis. Whether SiO2 is formed as a reaction
by-product or not remains unknown, however it is suspected that the thin SiO2 passivation
layer, grown before the POCl3 flow was turned on, has been consumed in the reaction. This,
6.2 TiO2 as a Phosphorus Diffusion Barrier
147
Figure 6.3: Comparison of RBS analysis performed on (a) a yellow region
and (b) a silvery-white region of a phosphorus diffusion barrier sample.
The solid lines represent experimental data, while the dotted lines are a
fit to the experimental data using the simulation package RUMP.272
along with the high J0e values, would seem to indicate that it was not merely a surface
reaction that occurred in the furnace and that the entire thickness of the TiO2 film has
undergone a reaction, altering the electrical and optical properties of the film.
A search of the relevant literature yields several pieces of information about TiPx Oy compounds. Ekambaram and Sevov noted that amorphous titanium diphosphate is a white
colour, and that it crystallises in the TiP2 O7 phase above 600◦ C.317 Glaum and Gruehn
observed that it was possible for titanium phosphate compounds to react with silica (SiO2 )
to form a new titanium silico-phosphate (Ti4 P6 Si2 O25 ) compound.290 The ratio between
the elements in this new compound are 1 : 1.25 : 0.5 : 6.25, which is remarkably similar to
that determined from the RBS data in Figure 6.3(b) for the silvery-white compound (c.f.
1 : 1.25 : 1.05 : 6). The differing fraction of silicon in the compounds formed here could be
due to the non-equal availability of reactants to the system. For example, significantly more
silicon is available to the reaction from the wafer than the TiO2 or P2 O5 constituents. Additionally, the Si:Ti ratio is too high (1 : 1.05) for the source of the silicon to be solely the
148
6. Performance of TiO2 Thin Films as a Phosphorus Diffusion Barrier and Dopant Source
SiO2 layer, as the ratio of film thicknesses gives about dT iO2 /dSiO2 = 10 : 1. One possible
explanation is that the reaction has proceeded throughout the whole film thicknesses and
consumed part of the silicon wafer.
The ability of TiO2 to act as a phosphorus diffusion barrier may be better understood by
comparing it to SiO2 . In comparison to SiO2 , the archetypical glass-former, it was noted
that the differences between the two compounds included covalent versus ionic bonding,
tetrahedral versus octahedral structural units, and that TiO2 is among the worst glass formers.262 Additionally, Zachariasen classified TiO2 as an intermediate or network-modifying
oxide, indicating that it does not appear to form a glass by itself.318 The most common
network formers in SiO2 are boron and phosphorus, as they are capable of forming glasses
by themselves.17 This allows a P2 O5 layer to form a mixed glass when it comes into contact
with a SiO2 layer, as occurs in the standard buried-contact process. SiO2 is a more effective
diffusion barrier for boron than phosphorous because of the lower temperatures associated
with the B2 O3 :SiO2 glass composition. Examination of the TiO2 :P2 O5 phase diagram,319
shown in Figure 6.4, also reveals low temperature glass compositions. However, closer inspection reveals the formation of the compound TiP2 O7 at a wide range of compositions and
temperatures. Thus, TiO2 and P2 O5 are not miscible, and the two oxides readily react upon
contact.
Figure 6.4: TiO2 :P2 O5 phase diagram, indicating the prevalence for the
compound TiP2 O7 to form at both a wide range of compositions and at
low temperatures.319
6.3 TiO2 as a Phosphorus Dopant Source
149
The prerequisites for the diffusion barrier layer in the BC solar cell fabrication sequence
are demanding, requiring that the film remain optically transparent to visible light and
maintain excellent surface passivation, in addition to preventing phosphorus from diffusing
through to the lightly doped emitter. Although TiO2 prevents all but a small fraction of
phosphorus from diffusing into the underlying silicon, the optical, insulating, and passivating
properties of the TiO2 :SiO2 stack are irreparably damaged in the process, and TiO2 must be
regarded as a sacrificial phosphorus diffusion barrier. The author performed one experiment
demonstrating that a 200 nm-thick spin-on SiO2 film is sufficient to protect the TiO2 during
a heavy groove diffusion, however it was not within the scope of this work to investigate the
full potential of suck “workarounds”.
6.3
TiO2 as a Phosphorus Dopant Source
There are several motivations for introducing dopant atoms into thin films. For TiO2 , common reasons include altering the electrical conductivity, photoelectric response, chemical resistance, optical properties, melting temperature, and the resulting TiO2 crystalline phase,
as well as using the film as a dopant source. There are quite a number of references to
P:TiO2 thin films in the literature. In some papers, the phosphorus was introduced to increase the conductivity of a visibly transparent film.61, 169, 188 In one instance, doping a TiO2
film with 1 mol. % of P2 O5 increased conductivity of the film by 1000 times.188 The use of
P:TiO2 in a heterojunction solar cell as an intermediary layer between tin oxide and silicon
was proposed, due to its increased electrical conductivity and optimal refractive index.61
Moriyama described several methods for depositing P-doped TiOx films.181 These included
e-beam evaporation and sputtering of a phosphorus and titanium source followed by oxidation; deposition of a titanium film followed by oxidation of the film in POCl3 atmosphere;
deposition of a P-based film onto a TiOx film followed by a high temperature diffusion step;
and finally, ion-implantation of phosphorus into a TiOx film. The aim of that work was to
suppress the leakage current of a TiOx capacitor.
Another frequent observation is that P:TiO2 enhances the formation of the TiO2 anatase
phase, and inhibits the formation of the rutile phase.80, 81, 86 Akhtar et al. deposited doped
TiO2 films formed with TiCl4 and 15% POCl3 , and observed an increase in the lattice
constant. This indicates that the phosphorus is incorporated into the lattice. Additionally,
the smaller phosphorus atoms were observed to diffuse interstitially, which had the effect of
inhibiting the phase change to rutile. Rao et al. observed that after 3 hr annealing at 870◦ C a
TiO2 film containing 5 at. % phosphorus remained 100% anatase.80 The enhancement of the
anatase phase was also observed when analysing P-doped glass using Raman spectroscopy.86
In the remainder of the works examined here, the phosphorus was intended to be used as
a dopant source. Safir initially described use of doped SiO2 as a diffusion source, however
150
6. Performance of TiO2 Thin Films as a Phosphorus Diffusion Barrier and Dopant Source
the potential of including dopant atoms, such as phosphorus, into titanium monoxide (TiO),
TiO2 , and other thin films was noted. Toyokura and Taguchi demonstrated that after annealing a phosphorus-doped tantalum pentoxide (P:Ta2 O5 ) film on a p-type wafer for 30 min at
1000◦ C a 0.3 µm deep junction is formed with a surface concentration of ND = 1019 cm−3 .320
It was mentioned that similar results could be achieved with P:TiO2 however no experimental
evidence was given in the paper.
Sharp Corporation (Japan) hold several patents regarding the diffusion of phosphorus from
a TiOx antireflection coating into p-type silicon to form a p-n junction.225, 321, 322 In the work
by Yokozawa et al., the titanium and phosphorus precursors, TPT and triethoxy phosphorus,
respectively, are introduced into a CVD chamber using an inert gas.225 It is claimed that a
subsequent thermal process enables the phosphorus to diffuse from the TiOx film. In subsequent research, the technique was refined so that highly-doped P:TiOx is deposited where the
evaporated contacts will be located.321 Then, a more lightly-doped P:TiOx layer is deposited
over the whole front surface of the p-type wafer. The subsequent high-temperature step then
results in the formation of a selective emitter and reduction of the contact resistance. The
most recent work by Ui et al., details the variation of the refractive index and resulting sheet
resistance with phosphorus concentration of CVD deposited P:TiOx films.322 By increasing
the P:Ti atomic ratio from 0.1 to 1.0 the sheet resistance decreased from 100 Ω/2 to about
30 Ω/2. Two researchers have noted that it is much easier to perform p-type diffusions from
boron-doped TiO2 films than n-type diffusions from P:TiO2 , however no further explanations were given.323, 324 Despite this, Yoldas and Yoldas reported that n-type diffusions could
be achieved from TiO2 films containing, firstly, 15% P2 O5 and, secondly, 10% triethyl phosphate. The resulting sheet resistance after high-temperature processing at 1000 − 1050◦ C in
N2 for one hour was not stated.323
Investigations have also as been performed in the use of titanium silicide (TiSi2 ) as a phosphorus dopant source. Privitera et al. achieved shallow junctions (< 100 nm deep) with
P:TiSi2 using thermal treatments in the range 950 − 1150◦ C. However, this resulted in a
high density of silicon phosphide (SiP) precipitates at the interface. La Via et al. noted that
titanium-phosphorus compounds were formed in the P:TiSi2 , however phosphorus diffusion
was still observed due to the similar lattice spacings of the two compounds.325 Another work
noted that there was negligible diffusion of phosphorus into the silicon after annealing for
30 min at 800◦ C.326 Indium tin oxide has also been doped with phosphorus in order to form
an emitter in p-type silicon.327 However, no phosphorus diffused into the silicon, and it is
postulated that indium phosphide formed and was evaporated during the subsequent 900◦ C
thermal treatment.
In this section, initial investigations on the use of spray-deposited TiO2 as a phosphorus
dopant source for the formation of emitters in silicon solar cells are reported. The aims were
to determine, firstly, whether an emitter dopant could be contained within the dielectric
coating, and, secondly, if the dopant atoms could diffuse from the dielectric film into the
6.3 TiO2 as a Phosphorus Dopant Source
151
silicon wafer during a subsequent high-temperature processing. If successful, this would
eliminate the need for one high-temperature step, namely the emitter diffusion.
6.3.1
Experiment
In order to realize phosphorus-doped TiO2 films, small volumes (1 wt. % and 5 wt. %) of
triethyl phosphate (TEPO, C2 H5 O3 PO, Aldrich, 99%) were added to the TPT, and the
solution sprayed onto p-type wafers at 450◦ C. The samples were then cleaned in boiling
RCA2 solution for 5 min and rinsed in DI water. This resulted in the complete etching
of the 5% P:TiO2 films, however the 1% P:TiO2 films remained intact. After rinsing and
drying, the samples were separated into three batches. All batches were loaded at 900◦ C
in O2 , and a N2 ambient replaced the O2 after the first 5, 10, and 20 min for each of the
batches, respectively, while ramping up to 950◦ C. In all cases the total processing time was
kept constant at 90 min.
6.3.2
Results and Discussion
The sheet resistance of TiO2 films doped with 1 wt. % phosphorus were measured using
the four-point probe (FPP) technique. Results indicated that the film itself had a sheet
resistance of 300 Ω/2. Transient-PCD analysis indicated that the τbulk of the samples were
high at 1.4 ms, however the J0e was also high at 9 − 10 × 10−13 A/cm2 . After etching off
the P:TiO2 film in pure (48%) HF, a hot-probe test confirmed that an n-type diffusion
was present, but the conductivity was too low to be measured with the FPP technique.
One published work has indicated that titanium atoms are able to diffuse out of a reduced
TiOx film, resulting in an n-type diffusion in a p-type silicon wafer.31 The possibility of
this occurring in the spray-deposited samples cannot be ruled out, however the TiO2 films
employed here are very close to stoichiometric (2.02 ± 0.13 from RBS measurements) and
the strong affinity of titanium for oxygen would seem to rule out any diffusion of titanium
into the silicon.
Ferdjani et al. noted that phosphorus can be incorporated into an oxide as oxygenated anions Px Oy (n-) rather that atomic phosphorus.328 Additionally, within TiO2 , the formation
of titanium phosphide (TiP) is common as this compound is very stable.328 XPS experiments were performed on the spray-deposited, phosphorus-doped TiO2 films at the Toyota
Technical Institute (Nagoya, Japan). Two 1 wt. % P:TiO2 samples had a total phosphorus
concentration at the surface of 3.2 at. % and 2.6 at. %, respectively. The phosphorus 2p peak
appears at 135.6 eV while the 2s peak is at 192.5 eV. The height of the phosphorus peaks
did not vary significantly with the depth of the film, indicating that the phosphorus dopant
atoms are quite uniformly incorporated into the TiO2 lattice.87
152
6. Performance of TiO2 Thin Films as a Phosphorus Diffusion Barrier and Dopant Source
It would seem that for P:TiO2 films to act as an effective dopant source, phosphorus dopant
concentrations of about 15% would be required along with higher processing temperatures,
as reported by Yoldas.323 However, if a 1 mol. % phosphorus-doped TiO2 film increased the
conductivity by 1000 times,188 a 15% concentration would most likely make the films very
conductive.
6.4
Conclusions
The results from this work indicate that a 70 nm thick TiO2 film can function either as a
phosphorus diffusion barrier or a phosphorus dopant source. Although the TiO2 diffusion
barrier resulted in only very light phosphorus diffusions underneath the film, a reaction with
P2 O5 substantially alters the optical and electrical properties and limiting its usefulness.
Therefore, TiO2 must be described as a sacrificial diffusion barrier to phosphorus or, alternatively, the TiO2 must be protected by another film (such as SiO2 ) during the diffusion
process.
The ability of TiO2 to act as a dopant source for phosphorus atoms is also somewhat limited.
The increased conductivity of the TiO2 film due to the phosphorus incorporation is unlikely
to be compatible with the insulating requirements of the BC solar cell electroless metal
plating step. Further investigations would need to be performed to ascertain the benefit of
P:TiO2 films in other solar cell structures, such as those using screen-printed and evaporated
metal contacts. However, it is anticipated that the increased optical absorption in the films,
along with the lengthy diffusion times and temperatures involved (> 1 hr at > 1000◦ C) will
limit the industrial uptake of this technology, even for screen-printed solar cells.
Chapter 7
TiO2 Antireflection Coatings
7.1
Introduction
Commercial solar cells fabricated on multicrystalline silicon (mc-Si) wafers exhibit poorer
short current densities (Jsc ) than their monocrystalline counterparts, primarily due to the
inability to adequately texture mc-Si wafers. This is due to the randomly oriented grains in
mc-Si material, and after texturing in a basic (NaOH or KOH) solution, only 20 − 30% of
the grains result in the familiar {111} pyramid faces. The pyramids enable the majority of
light striking the surface to have two chances at being transmitted into the silicon, instead
of being reflected. Research has been performed on alternate texturing methods, such as
reactive ion etching,329–331 laser- and photolithographically-defined texturing,28, 332 mechanical texturing333, 334 and acid-based chemical etching to form either textured335 or porous
silicon.336, 337 While some of these methods enable low reflectance to be achieved on mc-Si
wafers, none of these techniques would appear to be particularly attractive in a commercial
environment, due to either the high costs (photolithography, maintaining a vacuum) or large
quantities of dangerous chemicals involved.
An alternate method of reducing the front surface reflection is with antireflection (AR)
coatings. This chapter will briefly review the theory of AR coatings, before establishing the
performance of existing silicon nitride and TiO2 AR coatings. Subsequently, a novel and
simplified approach for the formation of TiO2 single- and multi-layer antireflection AR will
be presented and the performance of these coatings established.
Figure 7.1 shows the radiation intensity (φ) of the AM1.5 global spectrum (in mW/cm2 ) as
a function of wavelength. The data is taken from Green and is normalised to 100 mW/cm2 .8
Also shown in Figure 7.1 is the available short-circuit current density (Jsc ) at each wavelength. An AR coating should exhibit a minimum reflectance at about 600 nm in order
to take full advantage of the peak in the spectrum at 550 − 750 nm. A maximum Jsc of
45.97 mA/cm2 can be obtained in the 300 − 1200 nm wavelength region.8
153
154
7. TiO2 Antireflection Coatings
Figure 7.1: Global AM1.5 spectrum and short-circuit current density for
wavelengths 300 − 1200 nm. The data was taken from Green.8
There are two common standards for measuring the performance of a texturing scheme or
AR coating. The first is the weighted average reflection Rw , defined as:
λmax
Rw =
R(λ)Nph (λ)d(λ)
λmin
λmax
λmin
.
(7.1)
Nph (λ)d(λ)
In Equation 7.1, the values used for λmin and λmax are typically in the range 300 − 400 nm
and 1000 − 1200 nm, respectively. The amount of solar flux under the AM 1.5 spectrum is
represented by Nph (λ), while Rw is the reflectance of the solar cell. A Rw that is evaluated
over a narrower wavelength range is likely to be lower than that evaluated over a wider
wavelength range. This is especially true if the short wavelength regions (< 400 nm) are
excluded, where the reflectance of silicon is very high, or if the long wavelengths (> 1000 nm)
are excluded, where reflectance from the back surface of the silicon wafer is observed. The
advantage of using Rw as a figure-of-merit is that it is purely optical and does not depend
on the electrical performance of the solar cell.
The second measure of performance is the short-circuit current density Jsc , as shown in
Equation 7.2,
λmax
Jsc = q
(1 − R(λ)) Nph (λ)IQE(λ)d(λ) ,
(7.2)
λmin
where the electrical response of the solar cell as a function of wavelength is contained in the
internal quantum efficiency (IQE) term, Nph is the photon flux of the solar spectrum, and q
is the electronic charge with the value 1.602×10−19 C. For comparing texturing methods and
7.2 Previous Developments in AR Coatings
155
the majority of AR coatings, the quantity Rw will be used as many of these methods could
be applied to either screen-printed or high-efficiency solar cell designs. In later sections, the
Jsc will be used to determine the performance of single- and multi-layer TiO2 AR coatings
for buried-contact (BC) solar cells. The advantage of using Jsc is that this is one of the
important final output parameters of a solar cell.
7.2
Previous Developments in AR Coatings
This section will briefly introduce the theory behind single- and double-layer AR coatings,
before reviewing the two most common AR coatings in the PV industry, TiO2 and silicon
nitride (SiNx ). For really high-efficiency, laboratory-scale solar cells, the evaporation of
materials such as zinc sulphide (ZnS) and magnesium fluoride (MgF2 ) is a standard process,
however this technology has no relevance in a production setting due to the high-cost and
low-throughput nature of the evaporation method.
7.2.1
Theory and Design of AR Coatings
Single Layer AR Coatings
A single layer antireflection (SLAR) coating is the minimum requirement for any silicon solar
cell produced today. Light is well absorbed by semiconducting materials such as silicon,
however these materials exhibit high refractive indices. For example, silicon has a refractive
index of nsi = 3.939 at 600 nm.8 This refractive index is much greater than air, which has
a constant refractive index of n0 = 1.0, and glass (n0 = 1.52 at 600 nm). The reflectance of
normally incident light at such an interface is given by
2
nSi − n0
,
(7.3)
R=
nSi + n0
which means that 35.4% or 19.6% of the light is reflected off an air:silicon or glass:silicon
interface in the first bounce, respectively. If an optimum-thickness AR coating is inserted
between the silicon and ambient medium, the minimum reflectance is given by
2
2
nAR − n0 nSi
,
(7.4)
R=
n2AR + n0 nSi
where nAR is the refractive index of the coating. To achieve zero reflectance at one wavelength, the value of nAR should be
√
nAR = n0 nSi
(7.5)
and the film thickness (dAR ) must meet the quarterwave optical thickness requirement
dAR =
λ0
,
4 nAR
(7.6)
156
7. TiO2 Antireflection Coatings
where λ0 is the wavelength of zero or minimum reflectivity.
This indicates that an AR coating for a silicon solar cell in air should have a refractive index
of 1.985 and a thickness of 75.6 nm, while a glass encapsulated cell requires an AR coating
with nAR = 2.447 and dAR = 61.3 nm. Figure 7.2 shows the calculated reflectance for four
different SLAR coatings. The lines in Figure 7.2 represent theoretical AR coatings with
fixed (non-dispersive) refractive indices of 1.985 and 2.447 (as determined above), while the
data points are two TiO2 films deposited by the author, indicating that an excellent match
between the theoretical and practical coatings can be achieved. The reflectance minimum of
the encapsulated silicon is broader due to the higher refractive index of the glass, however
the first reflectance bounce off the air:glass interface means that only a minimum of 4.3%
can be achieved at the design wavelength of λ0 = 600 nm. The weighted average reflectances
(350 − 1150 nm) of the fixed AR coatings are Rw = 8.75% and Rw = 8.41% for air and glass
encapsulation, respectively. In comparison, the minimum weighted average reflectances that
can be achieved using experimental TiO2 coatings are Rw = 9.45% and Rw = 8.98% for air
and glass encapsulation, respectively. The TiO2 AR coatings deposited in this work will be
discussed in detail in Section 7.4.
Figure 7.2: Modelled reflectance of the fixed refractive index coatings, and
two TiO2 AR coatings deposited in this work. The performance of the
TiO2 coatings is extremely close to that of the theoretical coatings.
Double Layer AR Coatings
Whereas a SLAR coating can be designed to achieve zero reflectance at one wavelength, a
double layer antireflection (DLAR) coating offers a further reduction in reflectance. In this
7.2 Previous Developments in AR Coatings
157
scenario, the refractive indices are stacked as follows nSi > nAR2 > nAR1 > n0 , where nAR2
and nAR1 represent AR coatings with high and low refractive indices, respectively. For layers
with equal optical thickness, such that nAR2 dAR2 = nAR1 dAR1 = λ0 /4, the reflectance at λ0
becomes
2
2
nAR1 nSi − n2AR2 n0
R=
.
(7.7)
n2AR1 nSi + n2AR2 n0
The reflectance R will be either a maximum or minimum at λ0 depending on the relationship
between the refractive indices. From Equation 7.7, it can be seen that if n2AR1 nSi = n2AR2 n0 ,
zero reflectance at λ0 will be achieved. This is a much broader minimum than is achievable
with a SLAR coating. If nAR1 nAR2 = n0 nSi a maximum of R will occur at λ0 and double zero
reflectance will be achieved at two wavelengths either side of λ0 . The latter configuration
is commonly used to achieve minimum reflection across the whole solar spectrum (300 −
1200 nm).
For two quarter-wavelength coatings, the optimal refractive indices of each layer in a DLAR
stack can be determined by279
n3AR1 = n20 nSi and n3AR2 = n0 n2Si .
(7.8)
The mathematics for determining the reflectance off double- or multi-layer AR coatings is
not difficult, however it is much more laborious than the single coating case above, especially
for films that exhibit absorption. The interested reader is referred to texts that discuss this
optical theory such as Heavens38 and Macleod.279
Absorbing AR Coatings
In many instances, the amount of absorption in the AR coating is negligible338 and Equation
7.1 (see Section 7.1) can be safely applied in order to determine Rw . However, for AR
coatings possessing high refractive indices, absorption is commonly observed in the short
wavelength spectrum35, 339, 340 and the use of Equation 7.1 will lead to an erroneous answer.
This problem can be solved by considering the weighted average transmission Tw through
the AR coating, defined as
λmax
T (λ)Nph (λ)d(λ)
Tw = λmin
.
(7.9)
λmax
N
(λ)d(λ)
ph
λmin
This performance parameter is somewhat more complicated to determine experimentally,
compared to Rw . In order to determine Rw , the reflectance of the sample is simply measured using a spectrophotometer with an integrating sphere, and a numerical integration is
performed using a mathematical software package, such as Mathematica, giving a value for
Rw . In order to determine Tw , there are several options. Firstly, careful optical modelling
158
7. TiO2 Antireflection Coatings
of the reflectance data can yield information regarding the extinction coefficient of the film.
A certain amount of prior knowledge is required to ensure that a “physically real” answer
is reached. Secondly, this analysis can be greatly assisted by depositing the AR coating
in question onto a transparent substrate and measuring the transmittance. This provides
valuable data to assist in the determination of n and k. The only drawback to this approach
is that films such as TiO2 may have a different preferential phase when deposited onto glass
or quartz compared to silicon (see Section 2.2.3), and silicon nitride films deposited using
PECVD may possess different optical properties due to the insulating nature of the glass
substrate. Thirdly, an alternate method for obtaining the optical constants can be used,
such as ellipsometry. Once n and k are known the transmittance or reflectance curve can be
generated using software and Tw or Rw calculated.
The remaining quantity, average weighted absorptance Aw , then becomes another useful
figure of merit for solar cell design. The Aw is defined as
λmax
A(λ)Nph (λ)d(λ)
.
(7.10)
Aw = λmin
λmax
N
(λ)d(λ)
ph
λmin
The inclusion of absorption into the optical model also means that the equation for determining the Jsc of a solar cell, previously defined in Equation 7.2, must be modified and now
appears as
λmax
(1 − R(λ) − A(λ)) Nph (λ)IQE(λ)d(λ)
Jsc = q
λmin
λmax
= q
T (λ)Nph (λ)IQE(λ)d(λ) .
(7.11)
λmin
The wavelength limits used in this work are λmin = 350 nm and λmax = 1150 nm. These
limits were imposed by the spectroscopic ellipsometer, for which the data outside the 350 −
1150 nm range is typically quite noisy. The solar spectrum for silicon solar cells is usually
300 − 1200 nm, however only a small fraction of light is emitted from the sun at λ < 350 nm
(≈ 0.35 mA/cm2 ) and is absorbed by silicon at λ > 1150 nm.
The electrical response of a silicon solar cell varies with wavelength due to the varying
absorption coefficient of silicon, as well as the level of front and rear surface passivation and
the quality of the silicon substrate. Silicon wafers with a lower bulk minority carrier lifetime
τbulk typically exhibit a reduced response to long wavelength light due to defects within the
crystal structure. This includes c-Si wafers grown with the Czochralski (CZ) method, which
have a low τbulk due to a high oxygen and carbon concentration, and mc-Si wafers, where
the grain boundaries between the crystallites reduces τbulk .
In Equation 7.11, the IQE(λ) term indicates the electrical response of the solar cell over the
solar spectrum, called internal quantum efficiency (IQE). The IQE of the solar cell is strongly
influenced by the quality of surface passivation, primarily at the front and to some degree
7.2 Previous Developments in AR Coatings
159
at the rear. The use of thin SiO2 layers at the AR coating:silicon interface was discussed in
Section 5.3. The IQE of a simulated BC solar cell, used to predict the performance of solar
cells with TiO2 AR coatings, is plotted in Figure 7.26 in Section 7.5.
Materials for AR Coatings
Common materials used for AR coatings are summarised in Table 7.1. The refractive indices
may vary somewhat depending upon the deposition method, deposition rate, and any postdeposition annealing steps. Transparent conducting oxides such as indium tin oxide and zinc
oxide, commonly used in thin film devices, are not shown as their electrical conductivity
severely compromises their transparency.
Table 7.1: Refractive indices of materials commonly used in antireflection
coatings (adapted from Green 7 ).
Material
Magnesium fluoride MgF2
Silicon dioxide
SiO2
Aluminium dioxide Al2 O3
Silicon monoxide
SiO
Cerium dioxide
CeO2
Tantalum pentoxide Ta2 O5
Silicon nitride
SiNx
Titanium dioxide
TiO2
Zinc sulphide
ZnS
n (λ = 600 nm)
1.38
1.46
1.8
1.8 − 1.9
2.2
2.1 − 2.3
1.9 − 2.1
1.9 − 2.4
2.3 − 2.4
Revesz made several important observations about the desirable properties of an AR coating material.43 Firstly, the use of a non-crystalline material is important in AR coating
design because the grain boundaries in polycrystalline film cause scattering and decrease
transparency. Non-crystalline materials have also proven to be most suitable for fabricating
capacitors. However, it is desirable for the noncrystalline material to exhibit a reasonable
degree of short range order in order to avoid increased absorption due to unsaturated bonds.
Thus, a vitreous material is deemed to be more suitable than an amorphous material. A
good example of a vitreous material is thermally grown SiO2 , and this would be expected
to exhibit lower absorption than sputtered amorphous SiOx , for example. TiO2 films grown
in a chemical process at low temperatures, such as spray-deposition or CVD, could also be
expected to exhibit a high degree of short-range order.
Roger and Colardelle noted that as a common rule for pre-determining the optical properties
of a thin film: a slow deposition rate leads to low absorption and low refractive index, whereas
a fast deposition rate is conducive to a high refractive index, but also high absorption.277
160
7. TiO2 Antireflection Coatings
Thus, when designing multilayer AR coatings with absorbing materials, there may be a
design trade-off with perfectly matching the refractive indices or avoiding high absorption.
Optical Modelling Software
Two pieces of optical modelling software were used extensively throughout this thesis.
Firstly, WVASE,62 already mentioned in Section 4.6, was used for analysing measured ellipsometry and spectrophotometry data. This software was chosen for its speed, robustness,
and a large number of integrated models. A double Lorentz oscillator model was successfully used for fitting the Ψ and ∆ data and extracting the dispersive refractive indices n
and extinction coefficients k in the range 350 − 1150 nm. The n and k values were exported
from WVASE into TFCalc341 for optimisation of the AR coating layer thickness. TFCalc
enables the user to set either distinct or a range of wavelengths over which to minimise the
reflectance. A simplex downhill algorithm was normally used for optimising the layer thicknesses, and a passivating oxide layer could also be included at the interface. The reflectance,
transmittance, and absorptance data was then exported to a Mathematica program where
the average weighted reflectance, transmittance, and absorptance values (see Section 7.2.1)
were calculated, along with the maximum short circuit current density, Jsc .
7.2.2
TiO2 AR Coatings
The most commonly used material in the PV industry for AR coatings is TiO2 .16, 19 These
are SLAR coatings, primarily deposited onto screen-printed solar cells. This is primarily
due to the excellent optical properties of TiO2 , its ease of deposition and low cost. Doublelayer antireflection (DLAR) coatings are used in many high-efficiency solar cells, in order to
further reduce front surface reflectance losses. These DLAR coatings are normally utilised in
laboratory-scale devices, and the coatings are optimised for measurements in air. However,
some and, secondly, under glass encapsulation. Ultimately, however, any successful solar cell
design will require encapsulation to protect the device from the environment, and a review
of DLAR coatings under glass will be provided.
SLAR Coatings
The review paper by Kern and Tracy provides an excellent review of early AR coating
methods and development, focussing particularly on TiO2 .46 In that work, screen-printed
solar cells exhibited a 41% increase in efficiency after receiving an optimised TiO2 AR coating.
Many other researchers have observed a 42 − 50% increase in efficiency after depositing a
TiO2 AR coating,89, 157 the higher numbers coming from glass-encapsulated coatings with
nAR = 2.4.89
7.2 Previous Developments in AR Coatings
161
Brinker and Harrington optimised the ratio of SiO2 to TiO2 in similar SLAR coatings, and
found that a 90% TiO2 /10% SiO2 mixture achieved the lowest reflectance.201 The bandwidth,
or range of wavelengths, at which the reflectance was ≤ 5% was also determined for various
AR coatings, with the 90% TiO2 /10% SiO2 SLAR achieving 196 nm. This value was 10%
higher than the SLAR coating of Yoldas and O’Keeffe above, and it was concluded that this
was due to a slight gradient in the refractive index.
DLAR Coatings
Figure 7.3 shows a plot of the maximum Jsc achievable for a non-encapsulated silicon solar
with optimised single-, double-, and triple-layer AR coatings.45 The Jsc increases from
25.7 mA/cm2 with no AR coating, to 36.4 mA/cm2 with a SLAR coating. A DLAR coating
improves the Jsc further to 38.7 mA/cm2 , while a triple layer antireflection (TLAR) coating
can theoretically achieve a Jsc of 39.2 mA/cm2 . Thus, a theoretical increase in current of
6 − 8% provides the motivation for researchers to develop multi-layer antireflection (MLAR)
coatings for the photovoltaics industry. In reality, a TLAR coating provides little benefit
over a DLAR coating due to the limited range of transparent materials that are available.
Currently, DLAR coatings are only used in industry for small-scale production of highefficiency solar cells.301 This is primarily due to different materials and different deposition
systems being required for each of the DLAR coating layers. Zhao and Green noted that
encapsulated DLAR coating designs using high refractive indices (nAR2 > 2.5) do not improve
much above the SLAR coating due to absorption in the lower coating.338 An additional
advantage of using a DLAR coating is the reduced sensitivity to layer thicknesses,342, 343
while a disadvantage is that the performance of a DLAR coating decreases rapidly once a
SiO2 passivation layer is included at the silicon interface.344
Non-Encapsulated Solar Cells
A number of researchers that high refractive index TiO2 films are suitable for being paired up
with low refractive index SiO2 films to obtain excellent performance from non-encapsulated
DLAR coatings.44, 97, 150, 152, 342, 344–348 In a study of many possible AR coating materials, Jellison and Wood determined that a SiO2 /TiO2 DLAR coating had the highest performance.344
Martinet et al. also concluded that SiO2 /TiO2 DLAR coatings closely approached the ideal,
with optimal refractive indices of nAR1 = 1.58 and nAR2 = 2.50 for a silicon in air system at
λ0 = 600 nm.97 Swartz et al. used SiO2 /TiO2 DLAR coatings for silicon concentrator solar
cells, operating up to 350 suns.345 Yoldas developed a DLAR coating comprised of a top
layer of 10% TiO2 /90% SiO2 (nAR1 = 1.4) and a bottom layer of TiO2 (nAR2 = 2.4), which
increased the performance of uncoated silicon solar cells by 49%.346
Bouhafs et al. demonstrated that a Rw of 2.65% (300 − 1100 nm) could be achieved for
a SiO2 /TiO2 DLAR coating, slightly less than the modelled result of 2.39%.347 Modelling
results from Wang et al. indicated that a SiO2 /TiO2 DLAR coating in air should be able
162
7. TiO2 Antireflection Coatings
Figure 7.3: Maximum Jsc achievable for a non-encapsulated silicon solar
cell with optimised single-, double-, and triple-layer AR coatings. The
films used are hypothetical and absorption is assumed to be zero (adapted
from Nagel et al.45 ).
to achieve a Jsc of 42.2 mA/cm2 under AM0 illumination.44 Jiao and Anderson deposited
SiO2 /TiO2 DLAR coatings on metal/insulator/n-Si/p-Si (MINP) solar cells.152 The Jsc and
efficiency of the solar cells under AM 0 illumination improved by up to 46% after receiving
the DLAR coating, with a maximum Jsc of 44.3 mA/cm2 being observed. No degradation
in Voc or fill factor (FF) was observed after evaporating the SiO2 and TiO2 coatings, as has
been observed by other researchers.348
Pettit et al. used spin-on SiO2 and TiO2 films as a DLAR coating.342 A weighted average
reflectance, including reflectance from the rear silicon:air interface, of 5% was achieved for
experimental films, while the minimum achievable Rw with these films was modelled as
being 3.8% over the wavelength range 320 − 1120 nm. Figure 7.4 shows the Rw contours for
a wide range of SiO2 and TiO2 layer thicknesses. It can be seen that a wide range of layer
thicknesses, ±15% for SiO2 and ±10% for TiO2 , can still achieve a Rw of 4.3%, just 0.5%
above the minimum. It was also noted that these results were better than the Rw of 7%
achieved by Johnson for a SiNx quadruple-layer AR coating349 (see Section 7.2.3).
Kamataki et al. performed modelling to determine the optimum SiO2 and TiO2 layer thicknesses in a MgF2 /TiO2 DLAR coating with a SiO2 passivation layer.150 Figure 7.5(a) shows
the effect of increasing the SiO2 passivation layer thickness and the optimum TiO2 film
thickness. The MgF2 thickness was fixed at 100 nm. A theoretical Jsc of 42.6 mA/cm2 was
achieved on a planar surface for SiO2 and TiO2 thicknesses of 6 nm and 60 nm, respectively.
For a V-grooved surface, the potential Jsc increased to 44.1 mA/cm2 . Modelling also demonstrated that the optical performance of the DLAR coating did not change significantly for
SiO2 thicknesses less than 10 nm, as shown in Figure 7.5(b).
7.2 Previous Developments in AR Coatings
163
Figure 7.4: Optimised layer thicknesses of a SiO2 /TiO2 DLAR coating
with refractive indices nAR1 = 1.414 and nAR2 = 2.243 (at λ = 632.8 nm),
respectively. The ’X’ marks the experimental DLAR coating with Rw =
5% (including back reflectance), while the minimum Rw for this coating
is shown at •, corresponding to 95 nm SiO2 and 62 nm TiO2 .342, 343
Modelling performed by Nagel et al. indicated that a PECVD-deposited SiNx film could
be used a surface passivation layer under a SiO2 /TiO2 DLAR coating.45 The SiNx has a
significantly higher refractive index than SiO2 (2.2 vs. 1.46), reducing the reflectance losses
due to the inclusion of a passivation layer. A Jsc of 37.7 mA/cm2 was achieved with this
DLAR coating, however the use of several different materials in one DLAR coating is likely
to make this coating too costly in a production environment.
Encapsulated Solar Cells
Research performed at Solarex found that hot-sprayed DLAR Al2 O3 /TiO2 DLAR coatings
exhibited a 10% performance advantage over TiO2 SLAR coatings, and further enhancement
was achieved once a cover slide was in place.49 The hot-sprayed coatings exhibited high
refractive indices, ideal for DLAR coatings under glass. In a subsequent study, it was found
that incorporating the Al2 O3 /TiO2 DLAR coating in a screen-printed solar cell process
would result in an 0.4% (absolute) increase in efficiency, reducing the cost of the module by
US$0.03/Wp (1990 dollars).350
Wang et al. performed experiments with many SLAR and modelled DLAR coatings in air
and under fluorinated ethylene propylene (FEP) plastic sheets under AM0 illumination.44
The best results of Jsc = 44.0 mA/cm2 were achieved using a SiO (63 nm)/TiO2 (45 nm)
DLAR coating under the FEP (n0 = 1.34) cover.
Herpin equivalent layers can be used to create a layer with a desired refractive index, when a
single material with that refractive index is not available. Aiken used Herpin equivalent layers
to fabricate a quadruple-layer antireflection (QLAR) coating using TiO2 and Al2 O3 stacked in
164
7. TiO2 Antireflection Coatings
Figure 7.5: (a) Variation of calculated Jsc as a function of TiO2 film
thickness and SiO2 passivation layer thickness for V-grooved and planar
silicon surfaces, and (b) optical dependence of Jsc on SiO2 passivation
layer thickness. Note that the change in electrical passivation with SiO2
is not included in the purely optical model (adapted from Kamataki et
al.150 ).
a high-low-high-low (HLHL) pattern.351 Modelled results have shown that a QLAR coating
can have a solar weighted reflectance as low as 7.0% (wavelength range not specified), nearly
matching the optical performance of a TLAR step-down (i.e., nAR1 < nAR2 < nAR3 ) coating
(6.7%), with only using two-materials. The benefit of moving from a DLAR coating to either
a TLAR or QLAR coating for a silicon solar cell is minimal, and the 3- or 4-layer structures
were designed primarily for multijunction InGaP/GaAs cells, which exhibit a much greater
IR response.
Cudzinovic et al. deposited Al2 O3 /TiO2 and SiO/TiO2 stacks by e-beam and thermal evaporation, respectively, as DLAR coatings for high-efficiency solar cells.301 Severe degradation
of the surface passivation quality was reported for e-beam evaporation, while the damage
caused by thermal evaporation was also significant. This damage was most apparent for
textured samples, and only a fraction of the surface passivation could be recovered by performing a forming gas anneal (FGA).
7.2 Previous Developments in AR Coatings
165
Textured AR Coatings
Gee et al. and Liang developed several textured SLAR coatings for application in mc-Si solar
cells.58, 218, 352 While experiments were performed with APCVD-deposited ZnO and TiO2 , the
best results were obtained using CVD-deposited diamond films (nAR1 ≈ 2.4). The weighted
average reflectance of the encapsulated sample was 7.3% over the wavelength range 300 −
1000 nm. The TiO2 films exhibited poor adherence and the research was discontinued.218
The best results were achieved using an evaporated TiO2 layer covered with a textured ZnO
layer. Even with the lower refractive indices of the ZnO film (nAR1 ≈ 2.0), the excellent
texture reduced the Rw to 6% (300 − 1000 nm).218
7.2.3
Silicon Nitride AR Coatings
SLAR Coatings
Silicon nitride films deposited by plasma enhanced chemical vapour deposition (PECVD)
have recently become popular due to their large hydrogen fraction (about 25%), which can
provide some level of surface passivation and assists in increasing the bulk minority carrier
lifetime in mc-Si wafers. The disadvantage to these coatings is the high cost of depositions
due to maintaining a vacuum.
Nagel et al. compared SiNx and TiO2 SLAR coatings for encapsulated and non-encapsulated
silicon solar cells.45 Their results show that while excellent performance can be achieved using
SiNx films in air, the glass-encapsulated results show that the performance of the SiNx SLAR
(Jsc = 34.1 mA/cm2 ) and TiOx /20 nm-SiO2 (Jsc = 34.0 mA/cm2 ) coatings are similar once
surface passivation is taken into account. As discussed in Section 5.3.1, 10 nm of SiO2 is
usually sufficient to act as a good surface passivation layer, and if included in the modelling
this would increase the Jsc of the TiO2 coatings slightly, due to decreased reflectance losses
incurred by the low refractive index SiO2 layer.
Doshi et al. performed an extensive study into AR coating optimisation using PECVD
silicon nitride films.339 Initially, ten films with different refractive indices were deposited,
ranging from 2.03 to 2.55 (at λ = 632.8 nm. The photocurrent lost due to light reflected off
the front surface or absorbed in the AR coating was defined as
λmax
R(λ)Nph (λ)IQE(λ)d(λ) +
Jpcl = q
λmin
λmax
A(λ)Nph (λ)IQE(λ)d(λ)
q
(7.12)
λmin
= Jpcl(ref l) + Jpcl(abs) .
(7.13)
Minimizing the Jpcl is identical to maximising the Jsc . A 100% IQE in the range 400−1100 nm
166
7. TiO2 Antireflection Coatings
was assumed with 0% IQE elsewhere, for a maximum Jsc of 41.5 ,mA/cm2 .339 The highest
performance SiNx SLAR coating under glass was achieved with nAR1 = 2.23 (λ = 632.8 nm),
with Jpcl = 2.24 mA/cm2 , Rw = 4.37%, Aw = 1.03% and Tw = 94.60%. This value is
significantly lower than the ideal value of nAR1 = 2.45 calculated previously (see Section
7.2.1). Doshi et al. determined that while the reflected losses were lower for a SiNx film with
nAR1 = 2.42, the increased absorption losses (Aw = 2.66%) reduced the overall performance
of that SLAR coating. Performing a similar optimisation for a SiNx SLAR coating in air
showed that a film with the lowest refractive index nAR1 = 2.03 resulted in the lowest losses,
with Jpcl = 3.32 mA/cm2 , Rw = 7.98%, Aw = 0.02% and Tw = 92.00%.
BP Solar have replaced rudimentary silicon dioxide (SiO2 ) AR coating, originally implemented in the BC solar cell fabrication sequence, with a low-pressure chemical vapour deposition (LPCVD) silicon nitride (SiNx ) layer.15, 16 LPCVD SiNx films typically have a
refractive index of about 2.3 at 600 nm.17 These films have achieved a Jsc of 38.3 mA/cm2
(unconfirmed) on the best BC solar cell fabricated on a textured crystalline silicon wafer.15
DLAR Coatings
Two solutions to minimizing the number of materials in a DLAR coating have been reported,
both using SiNx films.339, 340 Winderbaum et al. used SiNx films with refractive indices of
nAR1 = 1.95 and nAR2 = 2.5 as a DLAR coating for passivated emitter solar cells (PESC)
and SP solar cells.340 Figure 7.6 compares the optical properties of the spray-deposited TiO2
from Solarex and the bottom layer SiNx film from the DLAR coating. The performance of the
TiO2 is superior, exhibiting a much lower absorption while maintaining a higher refractive
index. When applied to a PESC cell, a 49% improvement in the Jsc was observed after the
DLAR coating deposition. The efficiency of the cells remained low (12 − 14%) however due
to a 5% (absolute) reduction in FF and a Voc that remained < 600 mV. Several batches of SP
solar cells were produced with both coatings, and the SiNx DLAR coated samples typically
exhibited an efficiency advantage of 0.5% absolute. This increased efficiency is not purely
due to improved optics, as there are also the electrical benefits of bulk passivation from the
hydrogen in the SiNx .
Doshi et al. designed a DLAR coating using two SiNx layers, nAR1 = 2.03 and nAR2 = 2.42,
for use under glass. The DLAR coating performed slightly better than an optimised SiNx
SLAR coating, with a photocurrent loss of Jpcl = 1.95 mA/cm2 (compared to 2.24 mA/cm2
for the SLAR coating). Although reflectance losses were low Rw = 2.96%, absorption losses
arising from the bottom layer (Aw = 1.74%) were significant. Doshi et al. claim that even
the 0.3 mA/cm2 gain resulting from the DLAR coating is cost-effective, as the wafers can
remain in the PECVD chamber for both depositions.339 An additional benefit of such a
coating may be improved surface and bulk passivation resulting from the high hydrogen
concentration in the bottom layer.
7.3 Varying the Optical Properties of TiO2
167
Figure 7.6: Refractive index and extinction coefficient comparison between the TiO2 SLAR coating used by Solarex and the bottom layer of a
SiNx DLAR coating (adapted from Winderbaum et al.).340
Graded Index AR Coatings
Johnson et al. postulated that a continually varying refractive index, from 1.5 at the air/film
interface to 2.6 at the film/silicon interface, should produce a coating with an average reflectance of about 3% over most of the visible and infrared wavelengths.349 As a first approximation, four SiNx layers were deposited with the following refractive indices and thicknesses:
nAR1 = 1.83, dAR1 = 23 nm, nAR2 = 1.92, dAR2 = 31 nm, nAR3 = 2.20, dAR3 = 24 nm, and
nAR4 = 3.10, dAR1 = 19 nm. This SiNx MLAR coating was used in the fabrication of a 4 cm2
solar cell with a Jsc of 34.8 mA/cm2 .
7.3
Varying the Optical Properties of TiO2
The optical constants of TiO2 films deposited in this work were determined using spectroscopic ellipsometry (SE), an optical characterisation technique introduced in Section 4.6. A
distinct advantage of SE is that the n and k of single- or multi-layer films can be determined
relatively easily over a wide range of wavelengths, in our case 350 − 1150 nm. A number of
other researchers have used SE to determine the optical properties of TiO2 thin films, as well
as other information, such as the void content of the TiO2 thin films and the distribution
of the void content across the film.82, 128, 140, 144, 150, 161, 353 Results from these works were discussed in Sections 2.3.3 (optical properties), and Section 2.3.6 (void content). Results from
this work will be presented, indicating the variation in optical properties of TiO2 thin films
achieved by altering the deposition and annealing conditions (temperature and ambient).
168
7.3.1
7. TiO2 Antireflection Coatings
Deposition Temperature
Experiment
Before designing TiO2 AR coatings, it was necessary to determine the range of n and k
values that could be achieved with the CVD system. The aim of this experiment was to
deposit a range of TiO2 films from the minimum (150◦ C) to maximum (450◦ C) deposition
temperature. The substrates used in this work were polished 0.1 − 10 Ω cm n-type FZ
silicon wafers. TiO2 depositions were performed using a CVD system, and the deposition
time and relative humidity (RH) for each sample ranged from 8 − 14 min and 9 − 15.4%,
respectively. The higher RH values were for the three samples deposited at ≤ 250◦ C. Two
additional samples were deposited at 250◦ C and 450◦ C at a later time in order to determine
the repeatability of results. During these depositions the RH was lower at 7 − 8% and film
depositions took 21 − 23 min.
SE measurements were performed on each sample in the wavelength range 350 − 1150 nm
and at 65 − 80◦ in 10 nm and 5◦ intervals, respectively. The beam size on the sample was
about 3 mm in diameter. Sample scans took about 2.5 hr each. The ellipsometric data Ψ
and ∆ was modelled using a Lorentz double-oscillator (see Equation 4.7 in Section 4.6.3)
using version 3.361 of the software package WVASE32.62
Results and Discussion
Figure 7.7 shows the sample structure used to model the TiO2 films on silicon. The optical
constants for the silicon substrate were taken from Green.8 An effective medium approximation (EMA) layer, discussed in Section 4.6.4, comprised of 50% void and 50% TiO2 was used
to model the surface roughness in the films. The optical properties of the TiO2 fraction of
the EMA layer were coupled to the optical constants of the dense TiO2 layer below it. The
thickness of the silicon wafer was fixed at 300 µm.
The fitting parameters used to model the dielectric constant function of this film are shown
in Table A.1 (see Appendix A). The modelling parameters for all TiO2 films discussed in
this section can also be found in Appendix A. Figures 7.8(a) and (b) demonstrate the excellent agreement reached between the measured and modelled values of the ellipsometric
parameters Ψ and ∆. The quality of the fit indicates that it is not necessary to model an
inhomogeneity (gradient) in refractive index of the TiO2 layer, as has been required by other
researchers.128, 160, 353 Notably, this sample (TO-2-2) exhibited the poorest mean-squarederror (MSE) out of all the samples in this experiment, however the fit to the experimental
data is still excellent. In the regions where cos(∆) ≈ 1 it is known that the extinction coefficient k has a value close to zero.128 This agrees with the results of many other researchers
that TiO2 is essentially transparent at wavelengths greater than 400 nm (see Section 2.3.3).
7.3 Varying the Optical Properties of TiO2
169
air
surface roughness layer
(EMA: 50% void / 50%TiO2)
dsurf
TiO2
dTiO2
silicon
dSi
air
Figure 7.7: Schematic diagram of the film structure used for analysing
the SE data using WVASE32.62
The variation of the TiO2 refractive index (n at λ = 600 nm) for a range of deposition temperatures is shown in Figure 7.9(a). As expected, n increases with Tdep due to densification
and crystallisation processes. The exact values for n, as well as the other parameters plotted
in Figure 7.9(b), extinction coefficient (k at λ = 400 nm) and the ratio of the surface layer
d
thickness to TiO2 layer thickness ( dTsurf
), are included in Table A.2 in Appendix A. Since the
iO2
optical constants of both the surface roughness and dense TiO2 layers are coupled, trends
observed in n and k for the TiO2 layer are applicable to both layers. However, it should be
noted that the absolute n and k values for the surface roughness layer will greatly reduced
due to the 50% void incorporation. There is no clear trend in the extinction coefficient (see
Figure 7.9(b)) with k values spanning an order of magnitude, and sample TO-2-2 exhibiting
a much higher k than other films.
d
The quantity dTsurf
, a result of the optical modelling, is used as a parameter to tie together the
iO2
physical roughness and the optical properties of the modelled layers. Although, SE analysis
provides a unique solution for the optical properties and layer thicknesses, the chosen EMA
void fraction will also influence the reulting layer thicknesses. Therefore, while the the
modelled thicknesses may not exactly match the measured layer thickness, the normalised
d
parameter dTsurf
serves as a useful indicator as to the behaviour of the surface roughness
iO2
layer.
The dramatic increase in dsurf for T ≥ 300◦ C corresponds with the transformation of amorphous TiO2 to the polycrystalline phase of anatase. Polycrystalline thin films are known
to exhibit much greater surface roughness than amorphous films.82, 138 The reason for the
reduction in surface roughness from the sample deposited at 200◦ C to that of the film de-
170
7. TiO2 Antireflection Coatings
Figure 7.8: Measured (symbols) and modelled (lines) values for (a)
cos(∆) and (b) tan(Ψ) for sample TO-2-2.
posited at 300◦ C is not clear. It is possibly related to a more complete reaction of the TPT
vapour, as it was observed that the films deposited at Tdep ≤ 250◦ C were soft and could be
easily scratched with plastic tweezers.
The dispersive relations for the refractive index and extinction coefficient of the dense TiO2
layer are illustrated in Figures 7.10(a) and (b). The trends in n and k for the surface
roughness layer are identical as the optical constants are coupled to the dense TiO2 layer
(these are included for each TiO2 film in Appendix A). The refractive index curves in Figures
7.10(a) are nearly flat at longer wavelengths, and n rapidly increases at wavelengths less that
7.3 Varying the Optical Properties of TiO2
171
Figure 7.9: Measured parameters for the samples deposited at 150 −
450◦ C: (a) Refractive indices and (b) extinction coefficients of the surface
roughness layer and the dense TiO2 layer, and the ratio of these layer
thicknesses. The lines between symbols are provided as a guide for the
eye only.
500 nm due to the approaching bandgap. Only sample TO-2-2 exhibits a dispersive curve
with a lower steepness than other samples. The reason for this is not known, but may be due
to variations in the amorphous phase of TiO2 . As mentioned earlier, there is no clear trend
for the extinction coefficient values plotted in Figure 7.10(b) and Figure A.2(b). Sample
TO-2-2 deposited at 250◦ C exhibits the lowest k, while sample TO-2-4 (300◦ C) possesses
the highest k. Other researchers have also observed that there is not a direct relationship
172
7. TiO2 Antireflection Coatings
between k and Tdep ,148 as presented in Section 2.3.3.
Figure 7.10: Dispersive relations for the (a) refractive index and (b)
extinction coefficient of the TiO2 layer of samples deposited using CVD
at a range of deposition temperatures.
It is possible that the samples deposited at lower temperatures have retained some organic
(OH) groups from the organometallic precursor. It was noted during the depositions that the
relative humidity was significantly higher for these samples. It is known that temperatures
of 450◦ C are required to drive off all OH-groups from within the TiO2 film.46 However, it
is not known exactly how these incorporated OH-groups would explain the variations in k
observed in the TiO2 films.
7.3 Varying the Optical Properties of TiO2
173
Two additional samples were deposited at later date in order to check the repeatability of n
and k values from the TiO2 films. The dispersive refractive indices and extinction coefficients
for the TiO2 layer are plotted in Figure 7.11. Large differences in the optical properties can
be seen between the older and newer samples. At a wavelength of 600 nm, the refractive
index is 0.059 (or 3.1%) higher at 250◦ C and 0.128 (or 5.7%) greater at 450◦ C.
Figure 7.11: Dispersive relations for the refractive index (main graph)
and extinction coefficient (inset graph) of the TiO2 layer of samples deposited using CVD at 250◦ C and 450◦ C.
The most striking variation in the extinction coefficient is that the samples deposited at
450◦ C possess the lowest (TO-2-8) and highest (TO-3-1a) k values. It is believed that the
much slower deposition rate of samples TO-3-8a and TO-3-1a is responsible for the higher
n values observed. The longer time spent at the deposition temperature will enable the
TiO2 grains to sinter and densify somewhat, increasing the refractive index. This hypothesis
does not agree, however, with the rule-of-thumb that a slowly deposited film leads to high
transparency and low n, whereas high deposition rates result in a high n but also high k.277
The fractionally lower relative humidity present during the later depositions is not likely to
have had such an impact on the refractive indices of the films. Another possibility is that the
TPT vapour was impinging at a slightly shallower angle in the second round of depositions.
From past experience, it has been noted that a slight variation in “deposition angle” can
drastically alter the deposition efficiency (the fraction of TPT vapour that is incident upon
the substrate), and therefore the deposition rate. It can be imagined that a vapour-stream
174
7. TiO2 Antireflection Coatings
impinging on the substrate at a shallower angle could result in less cooling occurring, thus
bringing about an effective rise in the deposition temperature. The latter explanation is the
most likely mechanism responsible for the large increase in n observed.
7.3.2
Annealing Temperature
Experiment
For this experiment, all TiO2 samples were deposited at 450◦ C. The relative humidity remained between 7 − 11% for all samples, while the deposition times ranged from 14 − 17 min.
The wafers were each cut into three pieces using a laser. One piece of each sample was
retained, while the other pieces were annealed in a quartz tube furnace at 450 − 1050◦ C in
a nitrogen (N2 ) ambient for a period of either 1 hr or 6 hr.
Results and Discussion
The variation of the TiO2 refractive index (n at λ = 600 nm) for a range of annealing
temperatures is shown in Figure 7.12(a). The refractive index is roughly constant up until
700◦ C for the films annealed at both 1 hr and 6 hr. At Tann ≥ 800◦ C, there is a clear trend
of increasing refractive index with increasing annealing temperature. This increase in n at
higher temperatures is caused by an increasing rutile content in the TiO2 films. The films
annealed at 450−700◦ C exhibit very similar n values, and it is anticipated that these samples
are anatase only. The phase transformation between anatase and rutile can be seen at 800◦ C.
This sample (TO-4-4c) is thus a mixture of anatase and rutile phases. The samples annealed
at 900 − 1050◦ C possess significantly higher refractive indices, due to a greater rutile content
in these films. The samples annealed at 800 − 950◦ C for 6 hr exhibit the largest increases in
n, 0.135, 0.066 and 0.069 greater than the equivalent samples annealed for 1 hr, respectively.
Therefore, it can be concluded that at these temperatures, the crystallisation process is
limited by time. The fact that the refractive indices of these samples did not reach the 2.6
margin of the samples annealed at Tann ≥ 1000◦ C suggest that the phase transformation is
not complete and that these films remain an anatase/rutile mixture.
The refractive indices of the surface roughness layers, plotted in Figure 7.12, are in the
range 1.501 − 1.747. These refractive indices are similar to the range represented by SiO2
and Al2 O3 , both of which are often used as the top layer in a DLAR coating. This suggests
the possibility that a single TiO2 deposition step could be used to form a “pseudo”-DLAR
coating. The term pseudo-DLAR coating is used as it is not possible to independently
optimise the thickness or refractive index of the surface roughness layer - its properties are
inherently linked to the denser TiO2 layer. However, the performance of a pseudo-DLAR
coating may offer a performance enhancement over a SLAR coating while avoiding the more
7.3 Varying the Optical Properties of TiO2
175
Figure 7.12: Measured parameters for samples annealed at 450 − 1050◦ C
for a period of 1 hr and 6 hr: (a) refractive indices, and (b) extinction
coefficients (only 1 hr data shown) of the surface roughness layer and the
dense TiO2 layer, and the ratio of these layer thicknesses. The lines
between symbols are provided as a guide for the eye only.
complex processing requirements of a regular DLAR coating. This idea will be explored in
greater depth in Section 7.4.
There is a general trend of the extinction coefficients of the films annealed for 1 hr increasing
at annealing temperatures greater than 500◦ C, as displayed in Figure 7.12(b). The exact
explanation for the samples annealed at 900◦ C and 950◦ C exhibiting the highest k values
remains unclear. This trend was observed with samples annealed for 6 hr as well (see Ap-
176
7. TiO2 Antireflection Coatings
pendix A). Therefore, as the samples annealed at the same temperature are pieces of the
same deposited film the variation in k is attributed to slight fluctuations in the deposition
conditions, which results in the films sintering and crystallising slightly differently. It is not
expected to be due a result of the SE analysis, due to the use of a Kramers-Kronig consistent
optical model and the excellent MSE values achieved, as shown in Table A.5. Hovel also
found that a general trend of increasing k with increasing deposition temperatures existed in
spray-deposited films, however the occasional film did not fit this trend (see Figure 2.11).148
It is interesting to note that while films annealed at Tdep < 700◦ C have very similar n values,
their extinction coefficients vary significantly. It is possible that this disparity between n
and k is due to the existence of a small rutile fraction in the films or increased disorder in
the films with the impending phase transformation.
d
◦
The thickness ratio dTsurf
remains high for Tann ≤ 800 C, as shown in Figure 7.12(b), and is
iO2
similar to the values observed for non-annealed films deposited at 450◦ (refer Figure 7.9(a)).
At annealing temperatures greater than 900◦ C, both the surface layer thickness dsurf and
dsurf
decrease rapidly. The reduced surface roughness at higher annealing temperatures
dT iO2
is attributed to sintering of the TiO2 crystallites. The samples annealed for 6 hr exhibit
d
slightly reduced dTsurf
values, and reach a minimum value at Tann ≥ 1000◦ C. SEM images
iO2
(refer Figure 7.13, later in this section provide independent confirmation of decreased surface
roughness for annealed films.
d
is expected due to
An increase in n and k, and the reduction in the thickness ratio dTsurf
iO2
two mechanisms, crystallisation and sintering. The effect of sintering is demonstrated in the
scanning electron microscopy images in Figure 4.17. These are higher resolution images of
the same samples discussed in Section 4.8.3. After annealing the as-deposited (a) films for
1 hr (b), the crystallites have become rounded and the grains are observed to agglomerate.
Annealing for 6 hr results in the majority of grains sintering together, although the largest
voids in between the grains remain “unbridged”. After a total of 22 hr annealing at 1000◦ C
an almost continuous film is formed, with only small voids, typically 40 nm in diameter,
remaining. The sintering results in significant densification of the TiO2 layer, with the
film thickness decreasing from 79 nm in (a) to 64 nm after step (d). The increase in film
density, brought about by the reduced film thickness, is responsible for a small increase in
the TiO2 refractive index. The grain sizes observed in the films deposited at 450◦ C are
roughly 30 nm across, in agreement with nanocrystalline anatase thin films deposited by
other researchers.354, 355
An additional mechanism taking place during annealing is crystallisation. Crystallisation is
primarily responsible for the large increase in n and k presented in Table A.6. At annealing
temperatures less than 800◦ C, no significant increase in n is observed. Annealing at 450 −
700◦ C will transform any amorphous TiO2 fraction into the anatase phase. However, the
films are already anatase as-deposited, and the stable n values indicate that no significant
amorphous fractions were present prior to annealing. For Tann ≥ 800◦ C, a significant increase
7.3 Varying the Optical Properties of TiO2
177
Figure 7.13: High resolution SEM images of TiO2 films deposited by
CVD at 450◦ C: (a) as-deposited; (b) 1 hr anneal (load 800◦ C and ramp
to 950◦ C); (c) 6 hr anneal at 1000◦ C; and (d) 22 hr anneal at 1000◦ C.
in n is noted, reaching a maximum of about 2.6 at a wavelength of 600 nm. This increase
is due to the transformation of the anatase phase to the rutile phase. This transformation
temperature is in agreement with other works, reviewed in Section 2.2.1. A trend in the k
values, determined at λ = 400 nm, is not so clear from Table A.6.
Keddie et al. noted that the higher TiO2 film densities were achieved with increased heating
rate.356 The higher film density was attributed to delaying the crystallisation, which allowed
178
7. TiO2 Antireflection Coatings
greater film densification to occur prior to crystallisation. It was also emphasised that premature crystallisation can lead to films that are only partially sintered, because diffusive
sintering mechanisms are much slower than viscous sintering mechanisms.356 This implies
that the densest films may possibly be achieved by depositing amorphous TiO2 films, followed
by annealing (sintering) the films at a temperature less than 300◦ C to avoid crystallisation
into the anatase phase, and finally annealing (crystallising) the samples at a higher temperature. Fitzgibbons et al. commented that the crucial parameter determining the final
TiO2 film structure was simply the maximum processing temperature (either deposition or
annealing temperature).67 It was also noted that rutile could be achieved directly by performing the deposition at moderate temperatures. This is in agreement with the observation
of other researchers that the processing temperatures required to convert an anatase film
into a rutile film are significantly higher than the temperature required to deposit a rutile
film directly.73–75
7.3.3
Deposition Ambient
Experiment
The aim of this experiment was to determine whether the presence of water vapour (H2 O)
would alter the optical properties of the TiO2 films. For this experiment, TiO2 samples were
deposited at 250◦ C and 450◦ C, both with and without H2 O. The water vapour was supplied
by heating DI water, contained in a quartz bubbler, to 100◦ C. The relative humidity during
depositions was about 30%. Certain pieces of the samples were annealed in N2 at either
450◦ C or 1000◦ C for a period of 1 − 6 hr. One sample was loaded in the annealing furnace in
oxygen (O2 ) for the first 5 min, before the gas flow was changed to N2 for the next 55 min.
The optical properties of all of the films in this section were measured using SE, and the
results are given below. The fitting parameters used to model the samples are provided in
Table A.7 in Appendix A.
Results and Discussion
The refractive indices of the films deposited in the presence of water vapour were typically
low at n = 1.726 − 2.194. The samples deposited at 250◦ C exhibit the lowest refractive
indices, regardless of annealing time and temperature. In fact, the film annealed at 1000◦ C
for 6 hr has a very similar refractive index to the film annealed 450◦ C for 1 hr. However, Table
7.2 indicates that the former film has an extinction coefficient many orders of magnitude
greater than the latter film. This increase in k can partly be attributed to the transformation
of the film from anatase to rutile. It is also interesting that the sample TO-4-1c, deposited
at 450◦ C, exhibits a significantly higher n and k than the film annealed at 450◦ C. This
may indicate that the as-deposited amorphous phase is more porous than the as-deposited
7.3 Varying the Optical Properties of TiO2
179
anatase phase, and that the porosity can not be reduced during subsequent anneals. This
observation is in agreement with the results of other researchers. Wong et al. also reported
that TiO2 films deposited at 265◦ C were porous and hence the rearrangement of the atoms by
a sintering process is limited.130 This phenomenon is also supported by the marked increase
in n observed for the films from this work that were deposited at 450◦ C and subsequently
annealed, as shown in Figure A.7(a).
Table 7.2: Thickness, refractive index (at λ = 600 nm) and extinction coefficient (at λ = 400 nm) of surface roughness and TiO2 layers deposited
using CVD at 250◦ C and 450◦ C with H2 O vapour. Some samples were
annealed at either 450◦ C or 1000◦ C in N2 for a period of 1 hr or 6 hr.
Surface Layer
dsurf
n
k
(nm)
TiO2 Layer
dT iO2 n
k
(nm)
63.7
73.2
1.395 0.00037
1.501 0.00639
52.1
32.0
1.829
2.004
0.00082 1.223
0.00733 2.288
1 hr Anneal in N2
TO-3-6b 250
450
TO-3-5a 450 1000
89.6
43.2
1.347 0.00022
1.562 0.03425
68.5
74.0
1.726
2.194
0.00047 1.308
0.07557 0.584
6 hr Anneal in N2
TO-3-7c 250 1000
TO-3-5b 450 1000†
68.7
49.7
1.350 0.01951
1.524 0.06151
60.7
80.0
1.731
2.111
0.04231 1.132
0.13568 0.621
Sample
Name
Tdep
(◦ C)
No Anneal
TO-3-6a 250
TO-4-1c 450
Tann
(◦ C)
−
−
dsurf
dT iO2
†
Sample TO-3-5b was annealed for 5 min in O2 and subsequently 5 hr 55 min in N2
in the same high-temperature step. This resulted in the growth of 11.1 nm of SiO2 at
the TiO2 :Si interface.
One surprising result is that the sample deposited at 250◦ C (TO-3-6a) had a refractive index
of 1.829, however after annealing at 450◦ C (TO-3-6b) this decreased to n = 1.726.
The samples deposited at 250◦ C (TO-3-6a, TO-3-6b an TO-3-7c) exhibited very low refractive indices. This reduction in n is attributed to a large volume of water vapour being
contained within the film during deposition. The water vapour is then subsequently drivenoff by heating of the sample. The porosity of sample To-3-6b, determined using Equation 2.3
and using nb = 2.532 (dense anatase), is 63.4%. As mentioned in Sections 2.3.6 and 2.3.4,
highly porous films can absorb water vapour and this is known to increase the refractive
index of porous samples.155, 161, 163, 164 The voids in the film become essentially “filled” with
water (nH2 O = 1.33) and the measured refractive index can be significantly higher than if
the film was measured in a vacuum. The possibility of this effect could not be precluded in
the SE measurements, and the samples were measured in air.
The surface roughness layer of the samples deposited in the presence of water vapour was
180
7. TiO2 Antireflection Coatings
often thicker than the dense TiO2 layer, as shown in Table 7.2. The films with the highest n
values are both pieces of the same sample (TO-3-5), and these films also exhibit the lowest
dsurf
values. This is in agreement with the films possessing a higher density than the others.
dT iO2
In general, the large dsurf values and the low refractive indices of the TiO2 layer indicate
that the films are quite rough and porous.
Figure 7.14(a) is an SEM image of sample TO-3-6a, deposited with water vapour at 250◦ C.
Larger clusters of about 60 − 70 nm across are comprised of smaller grains with a diameter
of roughly 10 nm. The large voids in between the clusters are also immediately apparent.
Lottiaux et al. reported similar observations, noting that larger “blocks” of about 40 nm
in size were comprised of smaller blocks that were about ten times smaller in size.242 The
formation of the smaller blocks was found to be in agreement with nucleation theory and
the growth of amorphous thin films. Additionally, SEM images showed that these blocks do
not change with deposition conditions, and that deep cracks separate the large blocks.
Figure 7.14: SEM images of (a) TiO2 films deposited at 250◦ C (TO-3-6a)
and (b) subsequently annealed at 1000◦ C 6 hr (TO-3-7c).
Figure 7.14(b) shows the same film after annealing for 6 hr at 1000◦ C. The clusters and
grains have undergone significant densification, however the large voids are still present. This
indicates that sintering has only occurred when there was TiO2 material directly adjoining
the grains. The refractive index of the sample annealed for 6 hr at 1000◦ C (TO-3-7c) was
very similar to the sample annealed for 1 hr at 450◦ C (TO-3-6b). Assuming that after a 6 hr
anneal the film is converted to 100% rutile, this demonstrates that films can be deposited that
exhibit certain properties of rutile (such as excellent chemical resistance) while maintaining
a low refractive index.
7.3 Varying the Optical Properties of TiO2
7.3.4
181
Annealing Ambient
Experiment
The aim of this experiment was to determine whether the gas ambient during annealing
would later the optical properties of the TiO2 films. Other researchers have reported that
the optical properties of TiO2 can vary depending on the whether the gas flowing through
the furnace is O2 or N2 .150 Therefore, samples were deposited at 450◦ C and annealed in a
quartz tube furnace at 1000◦ C for a total time of 1 hr or 6 hr. The only variable was whether
the samples received 5 min O2 at the start of the furnace step or not. The relative humidity
remained between 7 − 11% for all samples. In all cases the total time the sample spent in
the furnace is either 1 hr or 6 hr.
It was demonstrated in Section 5.3.1 that a thin SiO2 could be grown at the TiO2 :Si interface by performing a brief oxidation (dry O2 ). Therefore, the final section of work was to
determine how the optical properties of the TiO2 film changed with increase oxidation times
and temperatures. Five samples were deposited at 450◦ C (15 − 23 min deposition time and
RH ≈ 7%) and loaded individually into a quartz tube furnace at 800◦ C in an O2 (3.2 slpm)
ambient. Once loaded, the furnace was set to ramp to 1000◦ C, and the wafers were removed
after 1 min, 2 min, 4 min, 8 min and 16 min.
The optical properties of all of the films in this section were measured using SE, and the
results are given below. The fitting parameters used to model the samples in this section
are provided in Tables A.8 and A.9 in Appendix A.
Results and Discussion
To compare the effect on the annealing ambient, pairs of samples were annealed either in
pure N2 for 1 hr or 6 hr, or initially 5 min O2 followed by N2 for a total processing time
of 1 hr or 6 hr. Table 7.3 details the thickness and optical properties of the various layers.
It was necessary to include an SiO2 layer at the TiO2 :Si interface in the model to achieve
the best fit to the experimental data. The thickness of this layer dSiO2 varies somewhat,
from 6.2 − 10.9 nm. Slight variations in the SiO2 thickness may be caused by TiO2 film
inhomogeneities. From Table 7.3 it can be seen that one pair of samples (TO-3-3b and
TO-3-3c) possess virtually identical refractive indices at 600 nm. However, for the remaining
pairs (TO-3-5a and TO-3-5b, and TO-4-7c and TO-3-3a), the films annealed only in N2
exhibited higher refractive indices. The similarities between the n values of the former pair
and the difference in the latter pair is clearly illustrated in Figure A.8(a).
Figure A.8(b) indicates that the extinction coefficient values for all samples are fairly similar,
except the sample TO-3-3a, which is significantly higher than the others. Kamataki et al.
observed slightly lower n and k values for films annealed in O2 .150 This research group
182
7. TiO2 Antireflection Coatings
Table 7.3: Thickness, refractive index (at λ = 600 nm) and extinction coefficient (at λ = 400 nm) of surface roughness and TiO2 layers deposited
using CVD at 450◦ C. Some samples received a 5 min oxidation prior to
all samples being annealed at 1000◦ C in N2 for a total period of 1 hr or
6 hr.
Sample
Name
5 min dSiO2
O2 ? (nm)
Surface Layer
dsurf
n
k
(nm)
TiO2 Layer
dT iO2
n
k
(nm)
dsurf
dT iO2
1 hr Anneal
TO-4-7c ✗
TO-3-3a ✓
TO-3-5a† ✗
TO-3-5b† ✓
−
6.2
−
10.9
14.2
30.5
43.2
49.9
1.722
1.697
1.562
1.524
0.04047
0.05312
0.03425
0.06130
55.0
49.7
74.0
80.0
2.547
2.491
2.194
2.111
6 hr Anneal
TO-3-3b ✗
TO-3-3c ✓
−
10.7
10.5
22.0
1.761 0.02513
1.761 0.04309
64.0
46.9
2.633 0.05565 0.164
2.632 0.09540 0.469
†
0.08962
0.11766
0.07557
0.13517
0.258
0.614
0.584
0.624
Samples TO-3-5a and TO-3-5b were deposited in the presence of H2 O vapour.
attributed this to the growth of SiO2 at the TiO2 :Si interface of O2 annealed samples. The
analysis of Kamataki et al. determined that after 1 hr at 500◦ C an 11.6 nm-thick SiO2 layer
had grown at the interface. This SiO2 thickness is similar to the samples in this thesis,
however the annealing temperature is halved. It would seem unlikely that a similar dSiO2
would grow at a temperature of 500◦ C. It may be possible that a significant native oxide
existed prior to TiO2 film deposition instead. Examination of the results of Kamataki et al.
in Figure 2.17 indicate that an increased refractive index is primarily observed at wavelengths
less than 500 nm. In conjunction with the increased k at shorter wavelengths, it would seem
to suggest that the film annealed in N2 may possess a small rutile fraction. The results from
this thesis also exhibit increased n values for films annealed for 1 hr. After 6 hr annealing,
refractive indices were identical. Therefore, it postulated that oxygen may play a role in
slightly retarding the transformation from anatase to rutile.
The following results are for the samples deposited at 450◦ C and loaded into an oxidation
furnace at 800◦ C. The furnace temperature was set to ramp to 1000◦ C. The samples received
separate oxidations of varying length - 1, 2, 4, 8 and 16 min - and were unloaded without
ramping the furnace temperature down. Table A.10 (in Appendix A) and Figure 7.15 summarise the measured parameters, including refractive index, extinction coefficient, SiO2 layer
d
thickness and dTsurf
.
iO
2
The refractive indices of the samples are observed to increase with increased oxidation time.
From the n values, it is believe that the transformation from anatase to rutile begins with
the sample oxidised for 8 min. There is also a large increase in k for samples for times
7.4 Development of Novel TiO2 AR Coatings
183
longer then 8 min, as shown in Figure A.9(b) (refer Appendix A). It remains unclear as to
d
why the thickness ratio dTsurf
steadily increases with increased oxidation time (and therefore
iO2
temperature). It is postulated that crystallisation is occurring first and that densification
has not had a chance to proceed yet. This is in agreement with the observations of Keddie
et al., who noted the rate of crystallisation is much greater than the rate of densification,
and that a high density film (corresponding to a thin surface roughness layer) is achieved by
delaying crystallisation.356
7.4
Development of Novel TiO2 AR Coatings
The achievement of a wide range of refractive indices by altering the deposition and annealing
conditions suggested the possibility of creating several novel types of AR coatings using only
TiO2 . This idea was supported by the fact that a single deposition resulted in a denser
TiO2 layer (n = 1.726 − 2.633 at λ = 600 nm) underneath a surface roughness layer (with
n = 1.347 − 1.761 at λ = 600 nm). Although the thickness of the surface roughness layer
could not be independently, the behaviour of dsurf as a function of deposition temperature
was known. This section presents new designs of SLAR and DLAR coatings based on these
CVD-deposited TiO2 thin films.
7.4.1
Single-layer TiO2 AR Coatings
Measurements on the above TiO2 samples were performed in order to determine whether the
surface roughness layer would act as a “pseudo-DLAR coating”. A pseudo-DLAR coating is
defined as an optical coating that can reducing the amount of reflected light by more than
is possible with an SLAR coating, but is still the result of a single film deposition. It could
also be regarded as the first step from a SLAR coating towards a graded refractive index.
Experiment
The deposition of the samples used in these measurements was previously described in the
‘Experiment’ section of Sections 7.3.1, 7.3.2, 7.3.3 and 7.3.4. Reflectance measurements were
performed with a Varian Cary 5G spectrophotometer in the wavelength range 210−1200 nm.
A deuterium lamp was used as the light source for wavelengths less than 350 nm, while a
tungsten-halogen lamp was used at longer wavelengths.
The data was modelled using the Lorentz oscillator model presented in Section 4.6.3. The
pseudo-DLAR coating model included a surface roughness layer and a dense TiO2 layer,
while the single layer model consisted of one dense TiO2 layer.
184
7. TiO2 Antireflection Coatings
Refractive Index, n (at λ =600 nm)
2.4
(a)
2.2
Surface roughness layer
Dense TiO2 layer
2.0
1.7
1.6
1.5
0
2
4
6
8
10
12
14
16
Oxidation Time, tox (min)
0.56
0.50
6
0.48
4
0.46
2
0.44
2
2
Thickness Ratio, dsurf / dTiO
8
0.52
SiO2 Layer Thickness, dSiO (nm)
10
(b)
0.54
0
0
2
4
6
O id ti
8
Ti
10
12
14
16
t ( i )
Figure 7.15: Measured parameters for samples oxidised for 1 − 16 min:
(a) refractive indices, and (b) thickness ratio of the surface roughness
layer and dense TiO2 layer and the SiO2 layer thickness grown. The
lines between symbols are provided as a guide for the eye only.
7.4 Development of Novel TiO2 AR Coatings
185
Results and Discussion
The reflectance spectra of a typical TiO2 film, sample TO-3-1b, is shown in Figure 7.16. The
effect of the surface roughness layer can be seen, broadening the reflectance minimum and
significantly reducing the short wavelength reflectance. The weighted average reflectance
for the pseudo-DLAR coating is Rw = 8.95% over the wavelength range 350 − 1150 nm,
compared to Rw = 10.54% for the best single-layer fit. Thus, the coating is behaving like
a DLAR coating with the refractive indices satisfying the relationship n2AR1 nSi = n2AR2 n0 .
The reduction in Rw can be attributed solely to the presence of the surface roughness layer
with an intermediate refractive index, since the extinction coefficient of both the dense TiO2
layers was virtually identical.
Figure 7.16: Reflectance of sample TO-3-1b in air, modelled with a single
layer (dotted line), and EMA/dense layer (solid line).
It should be noted that, even though sample TO-3-1b exhibited one of the lowest reflectances,
its refractive index was greater than desirable (nAR1 = 2.08) for a AR coating in air. However,
from Table A.2 it can be seen that the thickness of the surface roughness layer decreases
significantly for deposition temperatures lower than 450◦ C. This highlights the limitation
of the pseudo-DLAR coating - that the thickness of the surface roughness layer cannot be
independently controlled.
Figure 7.17 models the performance of sample TO-4-5c under 2 mm of B270 Crown glass
and 1 mm ethyl-vinyl-acetate (EVA) encapsulant. The optical models for the B270 Crown
glass and the EVA layer were taken from Nagel et al.45 Although, the extinction coefficient
of the EVA very low (k = 7.7 × 10−6 at 400 nm), the large thickness results is the majority
of the light at wavelengths less than 400 nm being absorbed in the layer. Again, the optical
performance is seen to be enhanced slightly by the presence of the TiO2 surface roughness
layer, primarily at short wavelengths. This reduces the weighted average reflectance from
Rw = 8.95% to Rw = 8.42%. In addition, the inclusion of a TiO2 film with a refractive index
186
7. TiO2 Antireflection Coatings
of about 2.4 results in a 0.5% (absolute) reduction in Rw compared to the case in air.
Figure 7.17: Reflectance of sample TO-4-5c under glass, modelled with a
single layer (dotted line), and EMA/dense layer (solid line).
7.4.2
Double-layer TiO2 AR Coatings
This experiment was aimed at demonstrating that a DLAR coating, comprising two TiO2
layers, could be achieved using the CVD-deposition technique. The existence of a DLAR
coating can be confirmed by the observance of two minima in the reflectance spectrum of
the coating.
The refractive indices of air, glass and silicon are 1.0, 1.52 and 3.939 at a wavelength of
600 nm. Using Equations 7.8 and 7.6, the optimum refractive indices and thicknesses for a
DLAR coating can be determined for a silicon solar cell in air and under glass at a design
wavelength of λ0 = 600 nm. In air, the optimum refractive indices (and thicknesses in
parentheses) of the two layers are nAR1 = 1.58 (94.9 nm) and nAR2 = 2.49 (60.2 nm), while
under glass these values increase to nAR1 = 2.07 (72.5 nm) and nAR2 = 2.86 (52.4 nm).
These refractive indices satisfy the requirements of a DLAR coating in order to achieve zero
reflectance at two wavelengths either side of λ0 , nAR1 nAR2 = n0 nSi .
As can be seen from Table A.6, sample TO-4-5b exhibited a refractive index of 2.489, very
close to that required for the bottom layer of the DLAR coating in air. As this layer was
annealed and had undergone densification and crystallisation processes, it was necessary to
estimate the degree of thickness reduction. An ellipsometer (λ = 632.8 nm) in the laboratory was used to perform quick measurements during sample processing. Sample TO-4-5b
possessed a thickness and refractive index of 95.1 nm and 1.991, respectively, after deposition. After annealing for 6 hr at 900◦ C, these values changed to 57.3 nm and 2.509 (at
λ = 632.8 nm). To achieve a minimum at λ0 = 600 nm with this film (nAR = 1.991), a thickness of 75.2 nm is required. The thickness of TO-4-5b after annealing is very close to what
7.4 Development of Novel TiO2 AR Coatings
187
is required as a final thickness for the annealed bottom layer. Therefore, when depositing
the bottom layer of the DLAR coating, this film will appear thicker than typically desired
dark blue, possibly exhibiting a light blue or yellowish colour.
The lowest refractive indices for the dense TiO2 films observed in this work (n ≈ 1.73) were
achieved by performing depositions at 250◦ C in the presence of water vapour, followed by
a subsequent annealing step of 450 − 1000◦ C (see samples TO-3-6b and TO-3-7c in Table
7.2). The refractive indices (and thicknesses) of these films were 1.479 (137.6 nm) and 1.551
(157.6 nm), respectively, when measured with the λ = 632.8 nm ellipsometer. This piece of
ellipsometer is only able to model a single film only, and cannot model surface roughness
layers. Therefore, these deposition settings for the upper layer of the DLAR coating. The
deposition of the upper layer would be stopped when a dark blue colour was observed in the
TiO2 /TiO2 stack.
Experiment
The wafers used for optical experiments were three 0.1 − 10 Ω cm n-type polished float
zone (FZ) Si(100) wafers and one chemically-polished, mc-Si wafer (Eurosil, F43, p-type,
1.5 Ω cm). All wafers were cleaned in RCA1/RCA2/HF before being thoroughly rinsed in
DI water and dried. The bottom TiO2 layer was deposited at 450◦ C, with deposition times
and relative humidities ranging from 12 − 23 min and 7 − 11%, respectively. Film colours
ranged from dark blue to yellow. All samples were then cleaned in H2 SO4 :H2 O2 :H2 O (1:1:5)
and RCA2 before being rinsed and dried.
Two of the polished FZ wafers (TO-5-5 and TO-5-6) and the mc-Si wafer (TO-5-3) were
then placed in a quartz tube furnace for annealing at 900◦ C for 6 hr in an N2 ambient. The
remaining sample (TO-5-8) received the same amount of annealing time and at the same
temperature, however the first 10 min were in O2 before switching the furnace gas to N2 .
Subsequently, the upper TiO2 layer was deposited at 250◦ C with H2 O vapour present. The
deposition times ranged from 5 − 20 min and the relative humidity was relatively constant
at 33 − 34%. As the layers deposited at low temperatures were quite soft, the samples were
then annealed for 2 hr at 700◦ C.
The reflectance of all samples was measured in the wavelength range 300 − 1200, while
spectroscopic ellipsometry measurements were performed in the wavelength range 350−1150
nm. SE data were collected for each sample at angles of 65 − 80◦ in 5◦ steps. The fitting
parameters used to model the samples in this section are provided in Table A.11 in Appendix
A.
188
7. TiO2 Antireflection Coatings
Results and Discussion
The excellent agreement between the measured (symbols) and modelled (lines) ellipsometric
parameters for sample TO-5-5 is shown in Figure 7.18. As noted previously, in regions where
cos(∆) ≈ 1 it is known that the extinction coefficient k is very close to zero.128
Figure 7.18: Measured (symbols) and modelled (lines) values for (a)
cos(∆) and (b) tan(Ψ) for sample TO-5-5.
The refractive indices, extinction coefficients and thicknesses of the dense TiO2 and surface
roughness layers in the DLAR coatings are plotted in Figure 7.19(a), (b) and (c). When displayed graphically, the approximation of the four modelled TiO2 layers to a graded refractive
index coating can be seen. It was attempted to model the layer as a graded refractive index
7.4 Development of Novel TiO2 AR Coatings
189
coating. The void content of each of the four layers was varied, and the optical properties
where linked to a bottom layer that determined the optical properties of the film. This model
was not successful, and it is postulated that the upper and lower TiO2 films are composed
of different phases of TiO2 , anatase and rutile, respectively, and possess different optical
properties.
The reflectance of three of the TiO2 DLAR coatings is shown in Figure 7.20. The reflectance
spectra of sample TO-5-6d is not plotted as it is virtually identical to sample TO-5-5d. All
three samples in Figure 7.20 exhibit the characteristic double minimum of a DLAR coating.
The noisy data in the range 800 − 1000 nm is due to poor sensitivity in the spectrophotometer’s IR detector, however the modelled data clearly provides an excellent fit. The lowest
weighted average reflectances achieved for a TiO2 DLAR coating in air in this work is 6.54%
and 8.04% for planar c-Si and mc-Si substrates, respectively (see Figure 7.20). While significantly better than a TiO2 SLAR coating, the performance of this coating is less than
optimal due to the high refractive index of the lower TiO2 layer (nAR2 > 2.6 instead of 2.49
as determined at the start of this section).
The most interesting application for a TiO2 DLAR coating would be for planar mc-Si solar cells encapsulated under glass. Modelling was performed, using the software package
TFCalc,341 with the above coatings to determine the optimum performance that could be
expected for this case. The optical models for the 2 mm-thick B270 Crown glass and 1 mmthick ethyl-vinyl-acetate (EVA) layers were taken from Nagel et al.45 Although, the extinction coefficient of the EVA very low (k = 7.7 × 10−6 at 400 nm), the large thickness results
is the majority of the light at wavelengths less than 400 nm being absorbed in the layer.
As previously discussed, the ideal refractive index for the lower DLAR coating layer is
nAR2 = 2.86. As this is not achievable in practice, the highest refractive index obtained
in this study was used, nAR2 = 2.63 (this can be achieved by annealing the TiO2 layer at
1000◦ C for 6 hr). With the reduced refractive index of the lower DLAR coating, the refractive
index of the upper DLAR coating also reduced from 2.07 to nAR1 = 1.95. Figure 7.21 plots
the reflectance, transmittance, and the absorptance of this DLAR coating. The weighted
averages of these parameters are Rw = 6.98% (including back reflectance), Tw = 91.65% and
Aw = 2.25%. The reflectance spectra is extremely flat and lies between 4.7% and 7.7% for
all wavelengths in the range 410 − 1040 nm. This is excellent, considering that about 4.3%
(absolute) is lost in the first bounce off the front surface of the glass. With the inclusion of
a 10 nm-thick SiO2 layer at the interface, the average weighted reflectance and absorptance
increase to Rw = 7.57% and Aw = 2.10%, respectively, while Tw = 90.33%.
A known advantage of DLAR coatings is that they are less sensitive to variations in their
layer thicknesses than SLAR coatings.342, 343, 349 Figure 7.22 plots the reflectance spectra of
the TiO2 DLAR coating with ideal layer thicknesses (the same as appears in Figure 7.21),
and with both layers 20% thicker and thinner than ideal. The lower TiO2 layer thickness
190
7. TiO2 Antireflection Coatings
Figure 7.19: Optical constants for four various layers of TiO2 DLAR
coatings: (a) sample TO-5-5d, (b) sample TO-5-6d and (c) sample TO5-8d (N.B. TO-5-8d has a thin SiO2 layer at the TiO2 :Si interface.
7.4 Development of Novel TiO2 AR Coatings
Figure 7.20: Measured reflectance of three TiO2 DLAR coatings: (a)
sample TO-5-5d, (b) sample TO-5-8d and (c) sample TO-5-3d (mc-Si).
Note that sample TO-5-8d has a thin SiO2 layer at the TiO2 :Si interface.
191
192
7. TiO2 Antireflection Coatings
Figure 7.21: Reflectance, transmittance and absorptance spectra for the
ideal TiO2 /TiO2 DLAR coating. Experimental data was used for the
dispersive refractive indices of the TiO2 layers.
is 78.0 ± 15.6, while the upper TiO2 layer has a thickness of 49.4 ± 9.9. For the scenario
where both layers are too thin , the weighted average reflectance increases from 6.98% to
only 7.10%, while thicker layers lead to a slightly higher value of Rw = 7.46%.
Figure 7.22: Variation of reflectance spectra with a 20% increase or reduction in both TiO2 layer thicknesses.
One disadvantage of DLAR coatings is that they more sensitive to thickness of the SiO2
passivation layer thickness than SLAR coatings.344 The results of modelling performed in
this work for SLAR and DLAR TiO2 coatings in air is shown in Figure 7.23. This graph
shows that the point where a DLAR coating offers no benefit over an SLAR coating is for
SiO2 layers with a thickness of 15 nm or more. As previously discussed, a 10 nm-thick SiO2
passivation layer has been found to achieve excellent results. Therefore, the efficiency of
solar cells incorporating a thin SiO2 layer can be expected to increase upon the deposition
7.5 Performance of TiO2 DLAR-Coated Solar Cells
193
of a TiO2 DLAR coating.
Figure 7.23: Dependence of weighted average reflectance on the SiO2
passivation layer thickness for TiO2 SLAR and DLAR coatings in air.
7.5
Performance of TiO2 DLAR-Coated Solar Cells
To predict the performance of solar cells with these DLAR coatings, the weighted average
reflectance curves were used as an input to a PC1D simulation. The intensity of the AM1.5
global spectrum was modified to account for absorption in the glass, EVA and TiO2 layers.
The short-wavelength absorptance in the glass, EVA and TiO2 layers is shown in Figure
7.24. This resulted in an intensity of 98.35 mW/cm2 , slightly lower than the AM1.5G value
of 100 mW/cm2 .
The source of the optical losses in the encapsulated solar cell is broken down in individual
layers in Figure 7.25 at two different wavelengths, 350 nm and 400 nm. The main source of
absorptance is the 1 mm thick EVA layer, with the lower TiO2 absorbing a much smaller
fraction of the light. Minimal absorption is observed in the upper TiO2 thin film, while the
level of absorption in the glass is close to zero at these wavelengths.
The parameters for the standard buried-contact solar cell, displayed in Table 7.4, were taken
from Honsberg et al.23 The IQE of this solar cell is plotted in Figure 7.26.
On 1 Ω cm p-type material, this modelled device resulted in a short-circuit current density of 37.5 mA/cm2 without an SiO2 passivation layer present, reducing slightly to Jsc =
37.2 mA/cm2 with the inclusion of 10 nm of SiO2 at the TiO2 :Si interface. A short-circuit
current density of greater than 37 mA/cm2 is excellent value for a planar encapsulated solar
cell. This compares favourably to the best buried-contact solar cell manufactured by BP
Solar, which achieved a Jsc of 38.3 mA/cm2 (measured in air) using a silicon nitride SLAR
coating on textured crystalline silicon.15 The emitter dark saturation current density was
194
7. TiO2 Antireflection Coatings
Figure 7.24: Intensity of the AM1.5 global spectrum striking the front
surface of the glass and the silicon wafer, indicating absorption in the
glass, EVA and TiO2 layers.
Figure 7.25: Percentage of optical losses attributable to various layers at
wavelengths of 350 nm and 400 nm.
kept constant for TiO2 DLAR coatings both with and without an SiO2 passivation layer
present. While it is not realistic to expect a J0e of 1 × 1013 A/cm2 with no SiO2 layer, this
value was used to indicate the maximum optical performance of the TiO2 DLAR coating.
For the device with a surface passivation layer, am open-circuit voltage of 640.6 mV was
achieved, resulting in a solar cell efficiency of η = 18.6%. The fill-factor of this solar cell was
78.1%, which is believed to be achievable for a BC solar cell fabricated on mc-Si substrates.
The high bulk minority carrier lifetime of 100 µs assumes that gettering and/or hydrogenation
of the mc-Si wafers has occurred during processing. Gettering is known to occur during
the heavy phosphorus groove diffusion,357 while a forming gas anneal performed after the
aluminium alloying process has resulted in bulk minority carrier lifetimes in excess of 80 µs
on ungettered 1.5 Ω cm mc-Si wafers.35 For mc-Si material that has received no lifetime
7.5 Performance of TiO2 DLAR-Coated Solar Cells
195
Figure 7.26: IQE of a simulated BC solar cells on τbulk = 100 µs material.
Table 7.4: PC1D modelling parameters used to simulate a single-sided
buried-contact solar cell (adopted from Honsberg et al.23 ).
Parameter
Surface texturing
p-type wafer resistivity
Wafer thickness, dSi
Bulk minority carrier lifetime, τbulk
Peak emitter doping, N0
Junction depth, xj
Emitter sheet resistance
Emitter dark saturation current density, J0e
Rear surface recombination velocity, SRVrear
Series resistance, Rs
Shunt resistance, Rsh
PC1D Value
None
1 Ω cm
350 µm
100 µs
1 × 1019 cm−3
1 µm
150 Ω/2
1 × 10−13 mA/cm2
1000 cm/s2
1 Ω cm2
100000 Ω cm2
enhancement processes, a bulk minority carrier lifetime of 20 µs is more typical. On this
material, a BC solar cell with a TiO2 DLAR coating could be expected to achieve an efficiency
of 17.4% (Jsc = 35.8 mA/cm2 and Voc = 625.0 mV).
The DLAR coating results represent a 7% improvement over a typical commercial TiO2
SLAR coating, deposited at 320◦ C and annealed briefly at 850◦ C to simulate firing of the
front screen-printed contacts. When modelled together with the electrical parameters for
a BC solar cell (with τbulk = 100 µs), this SLAR coating resulted in a Jsc of 34.7 mA/cm2
(Voc = 621.3 mV and η = 16.8%). The refractive index and extinction coefficient of this
commercial SLAR coating were n = 2.275 and k = 0, at wavelengths of 600 nm and 400 nm,
respectively.
196
7.6
7. TiO2 Antireflection Coatings
Conclusions
There are two main candidates for AR coatings in a PV production environment, TiO2 and
SiNx . TiO2 has excellent optical properties and can be deposited at a low-cost. SiNx films
are deposited at a higher cost using vacuum-based deposition equipment and exhibit slightly
poorer optical properties (higher absorption for a similar refractive index). However, there
can also be electrical benefits in using SiNx films deposited using PECVD, due to the large
hydrogen content of these films.
It is commonly noted that DLAR coatings have reduced sensitivity to variations in film
thickness.342, 343 Johnson et al. noted that a 10% variation of the layer thicknesses in a
multilayer coating resulted in only a 1% variation in the reflectance.349 Jellison and Wood
noted that the position of the reflectance minimum in the UV spectrum depends primarily
on the thickness of the inner layer of the DLAR coating.344 The same layer thickness also
affects the IR reflectivity to a much greater extent than the outer layer thickness. The same
authors also showed that the outer layer thickness only influences the absolute value of the
UV reflectance minimum. DLAR coatings are much more sensitive to the SiO2 passivation
layer thickness, and therefore it is important that the passivation layer be made as thin as
possible.344
Doshi et al. explored the idea of using a DLAR coating made from the same materials,
in this case SiNx , for use with encapsulated solar cells.339 While the absorption due to
the bottom, high refractive index SiNx layer was high(Aw = 1.74), the reduced reflectance
(Rw = 2.96%) lead to an overall increase in optical performance. It is claimed that even
a small photocurrent gain of 0.3/,mA/cm2 resulting from using the DLAR coating rather
than an optimized SLAR coating is cost-effective, as the wafers can remain in the PECVD
chamber for both depositions.339 An additional benefit of such a coating may be improved
surface and bulk passivation resulting from the high hydrogen concentration in the bottom
layer. When a 10 nm-thick SiO2 passivation layer was included underneath a MgF2 /SiNx
DLAR coating, it was noted that the bottom layer is reduced in thickness by roughly the
same amount, while the optimum refractive index for the same layer increases slightly.
All researchers that have investigated multilayer coatings based on SiNx found that the
increased extinction coefficient, especially for films with n ≥ 2.3, has resulted in poor optical
performance of the coating.45, 339, 340, 349 Therefore, to achieve a practical DLAR coating to
improve the performance of planar mc-Si solar cells an alternative dielectric needs to be
found.
The optical properties of TiO2 films could be varied greatly, by altering the deposition and
annealing conditions (temperature and ambient). TiO2 films with a range of refractive indices
from 1.879 − 2.097 (λ = 600 nm) were achieved by varying the deposition temperature from
150 − 450◦ C. Such a trend is not evident in the extinction coefficient values, however all films
7.6 Conclusions
197
exhibit low absorption with k ≤ 1 × 10−2 at a wavelength of 400 nm. The reproducibility
between depositions was within ±10% of refractive index. This value is high, and the large
variation is attributed to the shallower deposition angles causing less cooling of the substrate,
thereby resulting in a higher effective substrate temperature.
By placing the samples in a furnace at 450 − 1050◦ C for a period of 1 hr or 6 hr, refractive
indices in the range 2.061 − 2.602 (λ = 600 nm) could be obtained. Again, the extinction
coefficients of these films did not increase with the same linear trend and, in fact, the
highest k values (at 400 nm) were exhibited by films annealed at 900 − 950◦ C. However,
as the films were deposited individually, there are slight variations in the initial n and
k values, and these variations still remain after annealing. The thickness of the surface
roughness layer decreased dramatically for annealing temperatures ≥ 900◦ C due to sintering
processes. TiO2 films annealed for the first 5 min in oxygen exhibited a 6.2 − 10.9 nm-thick
SiO2 layer at the TiO2 :Si interface. The refractive indices of samples annealed for 6 hr were
the highest observed in this work, at n = 2.633. TiO2 samples that underwent a furnace
step in an oxygen ambient showed an increasing SiO2 layer thickness for longer processing
times. For films deposited in the presence of water vapour, the refractive indices were low
at n = 1.726 − 2.194 (λ = 600 nm), even after annealing at 1000◦ C. An anatase film with a
refractive index of 1.726 is calculated to be extremely (63.4%) porous.
A DLAR coating was designed and fabricated, with both layers being comprised of TiO2 . A
denser bottom TiO2 layer was obtained by annealing a TiO2 film deposited at 450◦ C, while a
highly porous upper TiO2 layer was achieved by performing the deposition in the presence of
water vapour. A distinctive double minima, characteristic of a DLAR coating, was observed
in the reflectance spectrum. The best weighted average reflectance measured was 6.54% for
a planar crystalline silicon wafers and 8.04% for a planar multicrystalline silicon wafer (both
in air). The layer thicknesses and refractive indices were both greater than ideal, indicating
that further improvement is possible in the experimental coatings.
Modelling determined that a TiO2 DLAR coating under glass could achieve a minimum
weighted average reflectance of 6.1%, while the inclusion of a 10 nm-thick SiO2 passivation
layer at the interface increased the Rw slightly to 6.9%. The performance of the DLAR
coating was significantly higher than a SLAR, which exhibited an Rw of 8.3% and 8.1%,
with and without the SiO2 layer, respectively.
Optical modelling determined that the majority of the absorptance in the short wavelength
region occurred in the EVA layer, rather than in the glass or TiO2 layers. A reduced AM1.5G
spectrum and intensity was calculated These values, along with parameters describing the
electrical performance of a single-sided buried-contact (BC) solar cell, were used as inputs to
a PC1D simulation. The maximum Jsc achievable with a planar BC solar cell implementing
a TiO2 DLAR coating under glass was 37.5 mA/cm2 . When a 10 nm-thick SiO2 passivation
layer was included at the TiO2 :Si interface, this value decreased slightly to 37.2 mA/cm2 . The
198
7. TiO2 Antireflection Coatings
modelled efficiency for the latter solar cell was 18.6% (Voc = 640.6 mV), which is excellent
considering the planar nature of the device. The fill-factor for this solar cell is F F = 78.1%,
which is achievable for a BC solar cell fabricated on mc-Si substrates. Use of the DLAR
coating results in a 7% improvement in Jsc over a typical commercial TiO2 SLAR coating
on the same device.
Chapter 8
Conclusions
8.1
Summary
Overview and Motivation
This thesis represents one of the most thorough investigations into the application of titanium
dioxide (TiO2 ) thin films to silicon photovoltaics (PV). TiO2 thin films have a long history
of usage in the PV industry, primarily as an antireflection (AR) coating on screen-printed
(SP) solar cells. This is due, firstly, to the excellent optical properties of TiO2 and, secondly,
to its low deposition cost.
In order to reduce the cost of fabricating buried-contact (BC) solar cells, an alternative
dielectric thin film to silicon dioxide (SiO2 ) has to be found. The SiO2 growth step is lengthy
and has to be performed at high-temperatures, increasing the complexity and cost of solar
cell fabrication. Additionally, other research has demonstrated that aluminium alloying can
occur in a phosphorus ambient,358 enabling two high-temperature steps to be combined into
one. While silicon nitride has been demonstrated to be economic on a commercial scale for
textured crystalline silicon (c-Si) wafers, TiO2 thin films appeared to be more suitable for
solar cells fabricated on lower cost, multicrystalline silicon (mc-Si) wafers. This provided
the motivation to investigate individual processes within the solar cell fabrication sequence
and identify areas where TiO2 thin films could be used to either enhance performance and
reduce fabrication costs.
Thin Film Requirements
Depending on the fabrication sequence of the BC solar cell, a single dielectric film, such as
TiO2 , could serve multiple purposes:
• an AR coating,
• a dopant source for emitter diffusions,
199
200
8. Conclusions
• a film compatible with oxide passivation,
• a diffusion barrier to phosphorus diffusion,
• a mask for electroless metal plating.
These desired functions place relatively strict requirements on the dielectric film, demanding:
• a high refractive index and low extinction coefficient for excellent optical performance.
This is especially important for mc-Si, for which no effective texturing method has
been demonstrated on an industrial scale yet.
• a high chemical resistance to wet chemicals used in PV manufacturing. This requires
a dense film with no pinholes to prevent chemicals and metal plating solutions from
reaching to the front surface of the silicon wafer. Additionally, the films have to
be insulating to prevent the electroless metal plating solution from adhering to the
dielectric film.
• excellent stability during high-temperature processing in a variety of gas ambients,
including oxygen (O2 ), nitrogen (N2 ) and the dopant source, phosphorus oxychloride
(POCl3 ).
• and, to be attractive in a commercial environment, such a film needs to be deposited
at a cost of less than US$0.05 per 5” square wafer.
While the BC technology was the main driver behind this work, much of the literature review
is relevant to any work involving TiO2 , while several of the experiments may be applicable
to other solar cell designs such as those with evaporated or SP contacts.
Titanium Dioxide
TiO2 is a complex material with two of the crystalline phases – the metastable lowtemperature phase of anatase as well as the stable high-temperature phase of rutile – as
well as the amorphous phase being commonly observed in thin films. The transformation
from amorphous TiO2 to anatase occurs at 300 − 350◦ C, while anatase can be transformed
into rutile by heating at temperatures greater than about 800◦ C. TiO2 thin films used in the
majority of PV applications are as deposited as amorphous films, due to the requirement of
firing SP pastes through the layer in order to contact the solar cell emitter. The refractive
index of these films is low (n ≈ 2.0 − 2.1 at λ = 600 nm) and the chemical resistance to the
majority of acids and bases is poor. Anatase films exhibit an increased refractive index of
(n ≈ 2.3−2.45 at λ = 600 nm) and greatly enhanced chemical resistance. Rutile films exhibit
refractive indices of n > 2.45 at λ = 600 nm and also a significantly increased extinction
coefficient due to an optical bandgap at about 3.05 eV. Therefore, anatase thin films seemed
to afford the best optical properties and chemical resistance.
8.1 Summary
201
TiO2 Deposition Systems
Two systems were designed and fabricated by the author for the deposition of anatase thin
films. Films deposited using a spray-deposition system exhibited ideal optical properties
(high refractive index n and low extinction coefficient k) for a single-layer antireflection
(SLAR) coating on a glass encapsulated solar cell. However, due to large variations in the
film thickness, this system was converted into a simple chemical vapour deposition (CVD)
system operating at atmospheric pressure. CVD-deposited TiO2 films exhibited much more
uniformly thick layers, although the refractive index of these films was nearly 15% lower at
the same deposition temperature of 450◦ C.
No Contamination During High-Temperature Processing
Experimental results demonstrated that TiO2 is compatible with high-temperature processing without contaminating the wafers or furnaces. The bulk minority carrier lifetimes (τbulk )
of TiO2 -coated samples placed in a furnace for 2 hr at 950◦ C were maintained at greater
than 2 ms. It was found that the spray-deposited TiO2 films are sensitive to the initial gas
ambient in the furnace. Samples loaded in oxygen were stable, however TiO2 films loaded
directly into a nitrogen ambient were reduced to a sub-oxide, most likely Ti2 O3 . The formation sub-oxide was predicted using thermochemistry analysis and confirmed using FTIR
spectroscopy.
Overcoming the Surface Passivation Limitation
One major disadvantage in using TiO2 thin films in silicon solar cells is that they afford very
little surface passivation to the silicon wafer. A novel method was developed by the author to
overcome this limitation of achieving surface passivation on TiO2 -coated silicon wafers. This
involved growing a thin SiO2 layer at the TiO2 :Si interface by oxidizing the wafer after TiO2
film deposition. The presence of the 6 nm-thick SiO2 layer was confirmed using scanning
electron microscopy (SEM) images and X-ray photoelectron spectroscopy (XPS) analysis.
The increase in surface passivation afforded by the interfacial SiO2 layer results in a decrease
in the emitter dark saturation current density (J0e ) by nearly two orders of magnitude from
∼ 2 × 10−12 A/cm2 after TiO2 deposition to 4.7 − 7.7 × 10−14 A/cm2 . This demonstrates the
ability of the TiO2 /SiO2 AR coating to provide excellent surface passivation. The low J0e
and high τbulk values demonstrated here are compatible with high-efficiency solar cells with
an open circuit voltage (Voc ) of the order of 700 mV.
TiO2 as a Phosphorus Diffusion Barrier
The results from this work indicate that a 70 nm thick TiO2 film can function as a phosphorus diffusion barrier, thereby protecting the lightly-doped emitter (∼ 100 Ω/2) from the
heavy phosphorus groove diffusion (< 5 Ω/2). Although the TiO2 diffusion barrier resulted
in only very light phosphorus diffusions underneath the film, a reaction in the POCl3 furnace substantially alters the optical and electrical properties and limits its usefulness. The
202
8. Conclusions
reaction between the TiO2 and phosphorus pentoxide (P2 O5 ) led to the formation of new
compounds on the wafer, determined to be either TiPx Siy Oz or TiPx Oy from Rutherford
Backscattering (RBS) and thermochemistry analysis. Therefore, TiO2 must be described as
a sacrificial diffusion barrier to phosphorus. This limitation means that in order for TiO2
to directly replace SiO2 in the BC process, a protective layer (such as SiO2 ) must first be
applied on top of the TiO2 film.
TiO2 as a Phosphorus Dopant Source
By doping the TiO2 film with a small concentration of phosphorus, it was anticipated that
these dopant atoms would diffuse out of the film and into the silicon during subsequent hightemperature processing. In this manner an n-type emitter could be created in the p-type
silicon wafer, obviating the need for a separate emitter diffusion step. Results from this
work have determined that the ability of TiO2 to act as a phosphorus dopant source is also
somewhat limited. The increased conductivity of the TiO2 film due to the phosphorus incorporation is not compatible with the insulating requirements of the BC solar cell electroless
metal plating step. Also, it is anticipated that the increased optical absorption in the films,
along with the lengthy diffusion times and temperatures involved (> 1 hr at > 1000◦ C) will
limit the industrial uptake of this technology.
TiO2 Double-Layer Antireflection (DLAR) Coatings
The optical properties of TiO2 films have been varied greatly by altering the deposition and
annealing conditions (temperature and ambient). The optical properties of the films were
determined with high accuracy using spectroscopic ellipsometry over the wavelength range
350 − 1150 nm, at angles of 65 − 80◦ . Optical modelling was performed using two main
software packages, WVASE (J.A. Woollam) and TFCalc (Software Spectra). TiO2 films
with refractive indices ranging from 1.726 to 2.633 at a wavelength of 600 nm have been
produced. The low refractive index films were deposited in the presence of large amounts
of water vapour, while the high refractive index films were achieved by annealing the asdeposited samples for a period of up to 6 hr at 1000◦ C.
This variation in optical properties suggested that a DLAR coating design could be fabricated, with both layers being comprised of TiO2 . A DLAR coating was designed for operation
in air, with ideal refractive indices of nAR1 = 1.58 and nAR2 = 2.49, for the upper and lower
layers respectively. The denser bottom TiO2 layer was obtained by annealing a TiO2 film
deposited at 450◦ C, while a highly porous upper TiO2 layer was achieved by performing
the deposition in the presence of water vapour. A distinctive double minima, characteristic
of a DLAR coating, was observed in the reflectance spectrum. The best weighted average
reflectance achieved was 6.54% for a planar crystalline silicon wafers and 8.04% for a planar
multicrystalline silicon wafer (both in air). Both the individual layer thicknesses and the
refractive indices were greater than ideal, indicating that further improvement is possible.
The real opportunity for a TiO2 DLAR coating comes, not for silicon solar cells in air, but for
8.2 Applicability to Various Solar Cell Processes
203
those encapsulated under ethyl vinyl acetate (EVA) and glass. The ideal refractive indices
of nAR1 = 2.07 and nAR2 = 2.86 (at λ = 600 nm) are not achievable with any transparent
materials, however modelling by the author has shown that a TiO2 DLAR coating with
nAR1 = 1.95 and nAR2 = 2.60 could achieve a minimum weighted average reflectance (Rw )
of 6.1%. With the inclusion of a 10 nm-thick SiO2 passivation layer at the interface, the Rw
increased slightly to 6.9%. The performance of the DLAR coating was significantly higher
than a SLAR, which exhibited an Rw of 8.3% and 8.1%, with and without the SiO2 layer,
respectively. This indicates the opportunities for implementing such a DLAR coating as a
cost-effective means for reducing the reflectance of mc-Si wafers.
Optical modelling determined that the majority of the absorptance in the short wavelength
region occurred in the EVA layer, rather than in the glass or TiO2 layers. A PC1D simulation,
which included absorption in all layers, of an encapsulated, planar BC solar cell with a TiO2
DLAR coating fabricated on low lifetime (τbulk = 100 µs) silicon resulted in a maximum shortcircuit current density (Jsc ) of 37.5 mA/cm2 . When a 10 nm-thick SiO2 passivation layer was
included at the TiO2 :Si interface, this value decreased slightly to 37.2 mA/cm2 . The modelled
efficiency for the latter solar cell was 18.6% (Voc = 640.6 mV), which is excellent considering
the planar nature of the device. The fill-factor for this solar cell is F F = 78.1%, which
is achievable for a BC solar cell fabricated on mc-Si substrates. Use of the DLAR coating
results in a 7% improvement in Jsc over a typical commercial TiO2 SLAR coating on the
same device. For mc-Si wafers that have not received any form of gettering or hydrogenation,
a bulk minority carrier lifetime of 20 µs is more typical. On this material, the simulated BC
solar cell with TiO2 DLAR coating achieved an efficiency of 17.4% (Jsc = 35.8 mA/cm2 and
Voc = 625.0 mV).
8.2
Applicability to Various Solar Cell Processes
The application of TiO2 films to BC solar cells in order to reduce the fabrication costs and
make was the BC technology more applicable to mc-Si wafers was the primary motivation
of this research. However, the results of the experiments determining the plausible roles of
TiO2 films are relevant to other types of silicon solar cells. This section will highlight the
opportunities for TiO2 thin films in BC, screen-printed (SP) and evaporated-contact solar
cells.
BC Solar Cells
TiO2 is potentially a low-cost replacement for the thermally grown SiO2 layer as implemented
in the original BC solar cell sequence. TiO2 can only be used as a direct replacement for
SiO2 layer in the standard BC processing sequence (refer to Section 1.4.3) if it is protected
during the heavy phosphorus groove diffusion. Thus, the TiO2 film would function as a film
compatible with surface passivation, an AR coating and an electroless metal plating mask.
204
8. Conclusions
The author demonstrated that a 200 nm-thick spin-on SiO2 layer is sufficient to protect the
TiO2 film during a phosphorus diffusion. This layer could remain on the solar cell until after
electroless metal plating and subsequently be removed in hydrofluoric acid, which would not
attack the TiO2 . The cost using an additional protective barrier would be small, estimated
at US$0.01 per 5” wafer, and along with the cost of depositing the TiO2 film (∼US$0.04
per 5” wafer) it is believed that this option is still cheaper than growing either SiO2 in an
oxidation furnace or silicon nitride in an low-pressure chemical vapour deposition reactor.
A second option in the BC solar cell process is to use a single diffusion for both the emitter
and grooves (typically 40−50 Ω/2). In this manner, the TiO2 is deposited prior to electroless
metal plating, thereby avoiding exposing the film to phosphorus containing gas ambients.
The use of phosphorus doped titanium dioxide (TiO2 :P) as a phosphorus dopant source is
not applicable to the BC process, as the inclusion of P-dopant atoms makes the TiO2 film
conductive and renders it useless as a electroless metal plating mask.
SP Solar Cells
The traditional role of TiO2 films in the PV industry is as an AR coating for SP solar cells.
An additional requirement of the TiO2 film in SP solar cells is that it “soft” enough for the
SP paste to be able to be fired-through the layer and to contact the emitter. Deposition
temperatures are typically about 200◦ C, and the films retain many organics and exhibit a
very low refractive index. However, during the brief firing step (∼ 800◦ C for 1 min 30 s) the
organics are baked off and the refractive index increases to about 2.25.
Thus, any role of TiO2 will only be successful if it is able to remain as an amorphous film
up until the front contact firing step. Surface passivation is not usually a requirement for
SP solar cells due to the heavily-doped emitter, which exhibits a phosphorus “dead-layer”
and is insensitive to the front surface preparation conditions. A possible role of TiO2 in the
SP process would be the use of TiO2 :P as an emitter dopant source. However, it may be the
case that the times required for achieving phosphorus diffusion are not compatible with the
metallisation paste firing times.
A TiO2 DLAR coating could be implemented if both of the layers were deposited as amorphous and the front contact firing step was optimised to achieve high refractive indices. This
may require the use of different pastes.
Evaporated-Contact Solar Cells
All of the novel roles of TiO2 may be applicable to a solar cell that uses evaporated contacts.
There is greater flexibility in the design of such a solar cell due to the large number of steps
(including photolithographic) involved. The most likely beneficial step would be the use of a
TiO2 DLAR coating, combined with the growth of SiO2 at the front surface for passivation
purposes. The benefits of a DLAR coating for such a solar cell will be small, as these cells are
normally fabricated on c-Si wafers, which are able to be chemically textured. However, the
8.3 Suggestions for Further Work
205
cost of a TiO2 DLAR coating will be substantially less than coatings comprised of evaporated
films such as zinc sulphide and magnesium fluoride.
8.3
Suggestions for Further Work
There are several areas of research involving TiO2 that would appear to be promising. It
should be noted that some of these “ideas” are merely the opinion of the author and there
may be no scientific literature available to support these claims.
TiO2 would seem to be more compatible with boron than phosphorus. This has several
implications, mainly for solar cells fabricated on n-type wafers. Firstly, shorter times and
lower temperatures may be required in order to achieve a p-type emitter from boron-doped
TiO2 . Secondly, it is possible that boron diffusion glass (B2 O3 ) will not react with or increase
the conductivity of the TiO2 film. If demonstrated to be true, this would make an n-type
BC solar cell process very attractive. From the literature, it would also seem that tantalum
pentoxide (Ta2 O5 ) would be more compatible than TiO2 as a phosphorus dopant source.
Another interesting possibility is if aluminium oxide (Al2 O3 ) could act as a phosphorus
diffusion barrier. If so, then an Al2 O3 /TiO2 DLAR coating could be used in the BC process.
The use of highly porous TiO2 films demonstrated in this work may be useful in other types
of solar cells, such as the Gr¨atzel cell. In this cell, a thick (∼ 5 µm) TiO2 film is used a
matrix, and flooded with electrolyte dye. A film with a high surface area is required so that
the dye can contact as many points as possible.
A study of the optical and electrical properties of both doped TiO2 (say, with niobium) and
reduced TiO2−x would be interesting. It may be possible to fabricate a transparent conducting oxide layer from either of these films. TiO2 -based films have the advantage that they can
be deposited at high-speed, at atmospheric pressure and at low-cost. Therefore, it is possible
that conducting layers for thin film modules could be deposited using atmospheric pressure
chemical vapour deposition (APCVD), rather than more expensive sputtering techniques.
206
8. Conclusions
Appendix A
TiO2 AR Coating Modelling
Parameters
The fitting parameters used to model the dielectric constant function of the TiO2 films from
Sections 7.3 and 7.4 are included in this appendix. The fitting parameters, ε1 (∞), Ai , Bi and
Eni are described in Section 4.6.3, along with Equation 4.8, which defines the mean-squared
error (MSE).
In addition, the tabulated results of thickness and optical properties and their dispersive
n and k spectra of some of the TiO2 thin films from Section 7.3 are also included in this
appendix.
207
208
A. TiO2 AR Coating Modelling Parameters
A.1
Variation of n and k with Deposition Temperature
Table A.1: Fitting parameters used to model the dielectric constant
(Lorentz double-oscillator model) of TiO2 films deposited using CVD with
substrate temperatures 150 − 450◦ C. The films are listed from lowest to
highest deposition temperature with 50◦ C intervals.
Sample
Name
ε1 (∞)
A1
B1
(eV)
En1
(eV)
A2
B2
(eV)
En2
(eV)
MSE
TO-2-1
TO-2-3
TO-2-2
TO-2-4
TO-2-5
TO-2-6
TO-2-8
2.9145
3.1056
2.9594
3.1135
3.2556
3.5291
3.7805
0.27128
0.19189
0.33326
0.27315
0.26939
0.30021
0.56632
0.19185
0.12474
0.34003
0.18417
0.17283
0.12739
0.18329
3.5666
3.5337
3.5342
3.5506
3.5563
3.5513
3.5637
406.16
523.59
471.25
329.25
429.78
464.72
196.30
0.0044184
0.0023403
0.0036487
0.0061043
0.0054490
0.0047787
0.0083855
4.0648
3.9785
3.9302
4.0383
4.0787
4.0308
3.9464
1.977
1.480
2.900
1.801
1.328
1.154
1.084
Table A.2: Thickness, refractive index (at λ = 600 nm) and extinction coefficient (at λ = 400 nm) of surface roughness and TiO2 layers deposited
by CVD with substrate temperatures 150 − 450◦ C.
Sample
Name
Surface Layer
Tdep dsurf
n
k
◦
( C) (nm)
TO-2-1
TO-2-3
TO-2-2
TO-2-4
TO-2-5
TO-2-6
TO-2-8
150
200
250
300
350
400
450
23.1
26.7
21.7
14.8
14.9
29.6
36.2
1.419
1.419
1.428
1.451
1.479
1.506
1.517
TiO2 Layer
dT iO2
n
k
(nm)
0.00149 87.0 1.879
0.00056 76.7 1.881
0.00721 102.0 1.901
0.00157 83.6 1.952
0.00133 76.2 2.012
0.00091 65.4 2.072
0.00204 63.0 2.097
0.00326
0.00121
0.01144
0.00343
0.00291
0.00199
0.00583
dsurf
dT iO2
0.266
0.348
0.213
0.177
0.196
0.453
0.575
A.1 Variation of n and k with Deposition Temperature
Figure A.1: Dispersive relations for the (a) refractive index and (b) extinction coefficient of the TiO2 layer of samples deposited using CVD at
a range of deposition temperatures.
209
210
A. TiO2 AR Coating Modelling Parameters
Figure A.2: Dispersive relations for the (a) refractive index and (b) extinction coefficient of the surface roughness layer of samples deposited
using CVD at a range of deposition temperatures.
A.1 Variation of n and k with Deposition Temperature
211
Table A.3: Fitting parameters used to model the dielectric constant
(Lorentz double-oscillator model) of additional TiO2 films deposited using CVD with substrate temperatures 250◦ C (TO-3-8a) and 450◦ C (TO3-1a).
Sample
Name
ε1 (∞)
A1
B1
(eV)
TO-3-8a 1.6877 73.911 0.03728
TO-3-1a 2.3540 7.5575 0.10686
En1
(eV)
A2
B2
(eV)
En2
(eV)
MSE
4.0662
3.6863
175.45
203.98
0.33451
0.07535
47.446
7.3323
1.841
1.468
Table A.4: Thickness, refractive index (at λ = 600 nm) and extinction coefficient (at λ = 400 nm) of surface roughness and TiO2 layers deposited
by CVD with substrate temperatures of 250◦ C and 450◦ C.
Sample
Name
Surface Layer
Tdep dsurf
n
k
◦
( C) (nm)
TiO2 Layer
dT iO2
n
k
(nm)
TO-3-8a
TO-2-2
250
250
14.1
21.7
1.455 0.00295 96.9 1.960 0.00975 0.146
1.428 0.00721 102.0 1.901 0.01144 0.213
TO-3-1a
TO-2-8
450
450
34.8
36.2
1.576 0.00719
1.517 0.00204
56.0
63.0
2.225
2.097
dsurf
dT iO2
0.01586 0.621
0.00583 0.575
En1
(eV)
A2
151.30
173.79
212.71
395.75
469.93
498.96
1937.6
362.42
383.08
1.7879
1.6131
1.2638
0.59047
0.48433
0.44677
0.06670
0.41197
0.40191
10.840
25.776
34.738
20.244
14.808
13.109
16.847
33.735
19.637
50.160
50.014
42.444
21.082
16.367
15.076
36.479
10.527
10.219
B1
(eV)
6 hr Anneal in N2
TO-3-2b 450 -1.6873
TO-4-1b 500 -1.8589
TO-4-2b 600 -2.6751
TO-4-3b 700 -7.3910
TO-4-4b 800 -10.000
TO-4-5b 900 -10.000
TO-4-6b 950 1.0791
TO-4-7b 1000 -9.2070
TO-4-8b 1050 -10.000
A1
3.5800 0.57569
50.092 28.747
50.000 41.470
25.650 24.400
16.352 9.2176
16.308 9.2967
12.512 11.014
9.7629 20.518
7.9520 25.480
ε1 (∞)
1 hr Anneal in N2
TO-3-1b 450 3.8177 7.5739 0.10541
TO-4-1c 500 -1.8225 159.18 1.6749
TO-4-2c 600 -2.0668 183.72 1.5122
TO-4-3c 700 -5.8686 330.64 0.71979
TO-4-4c 800 -9.9736 468.91 0.48890
TO-4-5c 900 -10.000 521.69 0.45637
TO-4-6c 950 -4.1817 710.61 0.15483
TO-4-7c 1000 -5.2537 826.69 0.11261
TO-4-8c 1050 -1.1817 118.49 0.39351
Tann
(◦ C)
3.4834
3.9780
4.0728
3.9666
3.7119
3.6038
3.6601
3.8497
3.8535
En2
(eV)
0.15430 3.8313
0.055087 3.8267
0.066355 4.0231
0.0091141 3.8748
0.24770 4.0054
0.21158 3.6372
0.28769 3.7526
0.096759 3.7903
0.11758 3.7332
0.73656
0.074611
0.066487
0.099716
0.13795
0.26935
0.28993
0.23544
0.17741
B2
(eV)
1.978
2.187
1.312
1.167
1.108
1.484
1.824
1.384
1.805
3.434
2.033
1.660
2.042
0.847
1.049
1.819
1.477
1.728
MSE
A.2
Sample
Name
212
A. TiO2 AR Coating Modelling Parameters
Variation of n and k with Annealing Temperature
Table A.5: Fitting parameters used to model the dielectric constant
(Lorentz double-oscillator model) of TiO2 films deposited using CVD at
450◦ C and annealed at 450 − 1050◦ C in N2 for a period of 1 hr or 6 hr.
A.2 Variation of n and k with Annealing Temperature
213
Table A.6: Thickness, refractive index (at λ = 600 nm) and extinction
coefficient (at λ = 400 nm) of surface roughness and TiO2 layers deposited by CVD at Tdep = 450◦ C and annealed at 450 − 1050◦ C in N2 for
1 hr or 6 hr.
Surface Layer
dsurf
n
k
(nm)
dT iO2
(nm)
1 hr Anneal in N2
TO-3-1b
450
TO-4-1c
500
TO-4-2c
600
TO-4-3c
700
TO-4-4c
800
TO-4-5c
900
TO-4-6c
950
TO-4-7c
1000
TO-4-8c
1050
44.9
34.1
34.5
32.9
35.4
36.8
29.7
14.2
6.6
1.511
1.501
1.519
1.509
1.558
1.666
1.690
1.722
1.745
0.03861
0.00639
0.00582
0.01122
0.01905
0.05753
0.05737
0.04047
0.03775
80.4
65.4
61.0
68.4
64.2
63.2
60.6
55.0
63.5
2.082
2.061
2.100
2.077
2.184
2.423
2.477
2.547
2.598
0.08495
0.01404
0.01282
0.02470
0.04202
0.12740
0.12706
0.08962
0.08362
0.558
0.521
0.566
0.481
0.551
0.582
0.490
0.258
0.104
6 hr Anneal in N2
TO-3-2b
450
TO-4-1b
500
TO-4-2b
600
TO-4-3b
700
TO-4-4b
800
TO-4-5b
900
TO-4-6b
950
TO-4-7b 1000
TO-4-8b 1050
41.3
39.4
35.7
33.2
33.0
30.1
22.6
4.7
5.2
1.511
1.505
1.524
1.525
1.619
1.696
1.722
1.747
1.732
0.01326
0.00495
0.00583
0.01155
0.03084
0.04645
0.06018
0.02717
0.02966
70.5
67.5
60.0
66.0
59.4
63.7
63.7
61.2
66.5
2.081
2.069
2.110
2.113
2.319
2.489
2.546
2.602
2.569
0.02918
0.01087
0.01284
0.02544
0.06822
0.10287
0.13329
0.06017
0.06569
0.586
0.584
0.595
0.503
0.556
0.473
0.355
0.077
0.078
Sample
Name
Tann
(◦ C)
TiO2 Layer
n
k
dsurf
dT iO2
214
A. TiO2 AR Coating Modelling Parameters
Figure A.3: Dispersive relations for the (a) refractive index and (b) extinction coefficient of the TiO2 layer of samples deposited at 450◦ C and
annealed for 1 hr in N2 .
A.2 Variation of n and k with Annealing Temperature
Figure A.4: Dispersive relations for the (a) refractive index and (b) extinction coefficient of the TiO2 layer of samples deposited at 450◦ C and
annealed for 6 hr in N2 .
215
216
A. TiO2 AR Coating Modelling Parameters
Figure A.5: Dispersive relations for the (a) refractive index and (b) extinction coefficient of the surface roughness layer of samples deposited at
450◦ C and annealed for 1 hr in N2 .
A.2 Variation of n and k with Annealing Temperature
Figure A.6: Dispersive relations for the (a) refractive index and (b) extinction coefficient of the surface roughness layer of samples deposited at
450◦ C and annealed for 6 hr in N2 .
217
218
A.3
A. TiO2 AR Coating Modelling Parameters
Variation of n and k with Deposition Ambient
Figure A.7: Dispersive relations for the (a) refractive index and (b) extinction coefficient of the TiO2 layer of samples deposited at 250◦ C and
450◦ C in the presence of H2 O vapour. Some samples were annealed at
either 450◦ C or 1000◦ C in N2 for a period of 1 hr or 6 hr.
Tdep
(◦ C)
B2
(eV)
En2
(eV)
0.18753
0.95360
MSE
3.7506 3.112
57.808 6.552
Sample TO-3-5b was annealed for 5 min in O2 and subequently 5 hr 55 min in N2 in the same hightemperature step. This resulted in the growth of 11.1 nm of SiO2 at the TiO2 :Si interface.
†
A2
86.830 232.99 0.006443 4.3773 2.456
9.1427 594.07 0.029195 6.6227 1.754
En1
(eV)
3.7608 100.00 246.31 0.003356 3.9991 4.900
0.29274 3.7236 323.72 0.37816 24.545 2.002
4.9956
1.9594
B1
(eV)
10.537 6.3942 25.798 3.6709
6.0971 0.39140 3.6764 172.98
0.10645
0.65607
13.863
1.1237
A1
(hr)
6 hr Anneal in N2
TO-3-7c 250 1000
TO-3-5b 450 1000†
2.1066
0.86073
ε1 (∞)
(◦ C)
1.9741 19.200
-0.97474 6.7456
−
−
Tann
(◦ C)
1 hr Anneal in N2
TO-3-6b 250
450
TO-3-5a 450 1000
No Anneal
TO-3-6a 250
TO-3-4a 450
Sample
Name
A.3 Variation of n and k with Deposition Ambient
219
Table A.7: Fitting parameters used to model the dielectric constant
(Lorentz double-oscillator model) of TiO2 films deposited using CVD at
250◦ C and 450◦ C with H2 O vapour. Some samples were annealed at either 450◦ C or 1000◦ C in N2 for a period of 1 hr or 6 hr.
A1
B1
(eV)
En1
(eV)
A2
B2
(eV)
En2
(eV)
MSE
-3.6533
-1.1366
30.090
40.871
0.12581
0.18648
3.8632
3.8823
301.74 0.21910
241.44 0.30138
7.7274
13.965
1.083
1.896
-5.2537 826.69 0.11261 9.7629 20.518 0.23544 3.8497 1.477
-5.4320 64.836 0.12730 3.9827 34.292 5.0079 19.722 1.950
-0.97474 6.7456 0.29274 3.7236 323.72 0.37816 24.545 2.002
0.65607 6.0971 0.39140 3.6764 172.98 0.95360 57.808 6.552
ε1 (∞)
Samples TO-3-5a and TO-3-5b were deposited in the presence of H2 O vapour.
✗
✓
6 hr Anneal
TO-3-3b 450
TO-3-3c 450
†
✗
✓
✗
✓
1 hr Anneal
TO-4-7c 450
TO-3-3a 450
TO-3-5a 450†
TO-3-5b 450†
Tdep 5 min
(◦ C) O2 ?
A.4
Sample
Name
220
A. TiO2 AR Coating Modelling Parameters
Variation of n and k with Annealing Ambient
Table A.8: Fitting parameters used to model the dielectric constant
(Lorentz double-oscillator model) of TiO2 films deposited using CVD at
450◦ C. Some samples received a 5 min oxidation prior to all samples being
annealed at 1000◦ C in N2 for a total period of 1 hr or 6 hr.
A.4 Variation of n and k with Annealing Ambient
Figure A.8: Dispersive relations for the (a) refractive index and (b) extinction coefficient of the TiO2 layer of samples deposited at 450◦ C. Some
samples received a 5 min oxidation prior to all samples being annealed at
1000◦ C in N2 for a total period of 1 hr or 6 hr.
221
tann
(min)
1
2
4
8
16
Sample
Name
TO-4-1a
TO-4-2a
TO-4-3a
TO-4-4a
TO-4-5a
-2.0959
-1.8936
-1.9082
-3.4864
0.737663
ε1 (∞)
201.43
215.81
190.35
208.43
13.996
A1
(eV)
1.3653
1.3091
1.3604
1.1598
0.27947
B1
(eV)
50.705
52.835
49.804
35.499
3.6323
En1
23.324
24.777
28.435
24.532
209.27
A2
(eV)
0.10798
0.11224
0.12884
0.22635
0.87688
B2
(eV)
3.9790
4.0005
4.0914
4.0323
50.000
En2
1.760
1.779
1.524
1.536
2.393
MSE
222
A. TiO2 AR Coating Modelling Parameters
Table A.9: Fitting parameters used to model the dielectric constant
(Lorentz double-oscillator model) of TiO2 films deposited using CVD at
450◦ C and oxidised for a period of 1 − 16 min at a loading temperature
of 800◦ C with the furnace set to ramp to 1000◦ C.
A.4 Variation of n and k with Annealing Ambient
223
Table A.10: Thickness, refractive index (at λ = 600 nm) and extinction
coefficient (at λ = 400 nm) of surface roughness and TiO2 layers deposited using CVD at 450◦ C and oxidised for a periof of 1 − 16 min at a
loading temperature of 800◦ C with the furnace set to ramp to 1000◦ C
Sample
Name
TO-4-1a
TO-4-2a
TO-4-3a
TO-4-4a
TO-4-5a
tann dSiO2
(min) (nm)
1
2
4
8
16
0
0
0.4
3.7
8.7
Surface Layer
dsurf
n
k
(nm)
TiO2 Layer
dT iO2
n
k
(nm)
32.4
29.3
32.0
28.2
33.7
72.9
61.7
65.6
57.7
60.8
1.497
1.519
1.529
1.602
1.678
0.00974
0.01017
0.01232
0.03239
0.07174
2.050
2.100
2.122
2.283
2.449
0.02142
0.02240
0.02716
0.07163
0.15890
dsurf
dT iO2
0.444
0.475
0.488
0.489
0.554
224
A. TiO2 AR Coating Modelling Parameters
Figure A.9: Dispersive relations for the (a) refractive index and (b) extinction coefficient of the TiO2 layer of samples deposited at 450◦ C and
oxidised for a periof of 1 − 16 min at a loading temperature of 800◦ C with
the furnace set to ramp to 1000◦ C.
Low
High
Low
High
Low
High
TO-5-5d
TO-5-8d
TO-5-6d
High/Low
Index
1.0261
3.6191
1.2686
4.6997
0.30975
5.6057
ε1 (∞)
B1
(eV)
En1
(eV)
A2
17.388
5.0788
82.390
−
3969.7 0.0022149 4.2949 3.7625
19.988
5.0002
74.719
−
2907.7 0.0015748 3.8822 4.8528
37.791
5.0586
84.695
−
2370.6 0.0015067 3.7147 4.6486
A1
−
0.26300
−
0.28336
−
0.19178
B2
(eV)
MSE
−
3.643
3.4587
−
3.945
3.4819
−
3.384
3.4987
En2
(eV)
A.5
Sample
Name
A.5 TiO2 DLAR Coatings
225
TiO2 DLAR Coatings
Table A.11: Fitting parameters used to model the dielectric constant
(Lorentz double-oscillator model) of TiO2 DLAR coatings measured using
spectroscopic ellipsometry. A dash (−) indicates that the second oscillator was not required in the model and that the amplitude was zero.
226
A. TiO2 AR Coating Modelling Parameters
Bibliography
[1] M.A. Green, Power to the People: Sunlight to Electricity Using Solar Cells, University
of New South Wales Press, Kensington, Australia, 2000.
[2] T. Trainer, The Conserver Society, Zed Books, London, 1995.
[3] T. Saitoh, X. Wang, H. Hashigami, T. Abe, T. Igarashi, S. Glunz, S. Rein, W. Wettling,
I. Yamasaki, H. Sawai, H. Ohtuka, and T. Warabisako, “Suppression of light degradation of carrier lifetimes in low-resistivity CZ-Si solar cells,” Solar Energy Materials &
Solar Cells, vol. 65, pp. 277–285, 2001.
[4] Solarex, “World solar radiation map,” .
[5] M. Stocks, A. Blakers, and A. Cuevas, “Multicrystalline silicon solar cells with low
rear surface recombination,” in 26th IEEE Photovoltaics Specialists Conference. 1997,
pp. 67–70, IEEE.
[6] P.A. Altermatt, G. Heiser, X. Dai, J. Jurgens, A.G. Aberle, S.J. Robinson, T. Young,
S.R. Wenham, and M.A. Green, “Rear surface passivation of high-efficiency solar cells
by a floating junction,” Journal of Applied Physics, vol. 80, pp. 3574–3586, 1996.
[7] M.A. Green, Solar Cells: Operating Principles, Technology and System Applications,
University of New South Wales, Kensington, Australia, 1986.
[8] M.A. Green, Silicon Solar Cells: Advanced Principles and Practice, Bridge Printery,
Sydney, Australia, 1995.
[9] R.J. van Overstraeten and R.P. Mertens, Physics, Technology and Use of Photovoltaics,
Adam Hilger, Bristol, 1986.
[10] E.L. Ralph, “Recent advancements in low cost solar cell processing,” in 11th IEEE
Photovoltaics Specialists Conference, Scottsdale (AZ). 1975, pp. 315–316, IEEE.
[11] M.A. Green, A.W. Blakers, S.R. Wenham S.R., S. Narayanan, M.R. Willison, M. Taouk
M., and T. Szpitalak, “Improvements in silicon solar cell efficiency,” in 18th IEEE
Photovoltaics Specialists Conference. 1985, pp. 39–42, IEEE.
227
228
BIBLIOGRAPHY
[12] S.R. Wenham, “Buried-contact silicon solar cells,” Progress in Photovoltaics, vol. 1,
pp. 3–10, 1993.
[13] T.M. Bruton, “Fabrication of laser grooved buried contact Si solar cells,” in 1st E.U.
International Workshop on Crystalline Silicon Solar Cells, 1994, vol. 1.
[14] C.B. Honsberg, S.R. Wenham, A. Ebong, M. Taouk, Y.-H. Tang, S. Ghozati, F. Yun,
A. Grados, and M.A. Green, “High efficiency, low cost buried contact silicon solar
cells,” in 1st World Conference on Photovoltaic Energy Conversion, N.J., 1994, pp.
1473–1476, IEEE.
[15] T.M. Bruton, N.B. Mason, and J.G. Summers, “Towards production of high efficiency
terrestrial solar cells,” in 6th International Photovoltaic Science and Engineering Conference, 1992, pp. 11–16.
[16] S. Narayanan, J. Creager, S. Roncin, A. Rohatgi, and Z. Chen, “Process improvements
for large area polycrystalline silicon buried contact solar cell sequence,” in 1st World
Conference on Photovoltaic Energy Conversion, N.J., 1994, pp. 1319–1322, IEEE.
[17] S.K. Ghandhi, VLSI Fabrication Principles: Silicon and Gallium Arsenide, Wiley
Interscience, New York, 2nd edition, 1983.
[18] S. Arimoto, M. Nakatani, Y. Nishimoto, H. Morikawa, M. Hayashi, H. Namizaki, and
K. Namba, “Simplified mass-production process for 16% efficiency multi-crystalline Si
solar cells,” in 28th IEEE Photovoltaic Specialists Conference, N.J., 2000, pp. 188–193,
IEEE.
[19] S.R. Wenham, C.B. Honsberg, S. Edmiston, L. Koschier, A. Fung, M.A. Green, and
F. Ferrazza, “Simplified Buried-Contact solar cell process,” in 25th Photovoltaics
Specialists Conference, N.J., 1996, pp. 389–392, IEEE.
[20] C.B. Honsberg, S.E. Edmiston, A. Fung, M. Molitor, and S.R. Wenham, “New simplified buried contact process for czochralski and multi-crystalline wafers,” in 14th
European Conference on Photovoltaics and Solar Energy Conversion, Bedford, U.K.,
1994, pp. 146–149, H.S. Stephens & Assoc.
[21] D.A. Clugston and P.A. Basore, “PC1D version 5: 32-bit solar cell modeling on
personal computers,” in 26th IEEE Photovoltaics Specialists Conference. 1997, pp.
207–210, IEEE.
[22] J.E. Cotter, B.S. Richards, F. Ferrazza, C.B. Honsberg, T.W. Leong, H.R. Mehrvarz,
G.A. Naik, and S.R. Wenham, “Design of a simplified emitter structure for buried
contact solar cells,” in 2nd World Conference on Photovoltaic Energy Conversion,
Ispra, Italy, 1998, pp. 1511–1514, European Commission.
BIBLIOGRAPHY
229
[23] C.B. Honsberg, J.E. Cotter, K.R. McIntosh, S.C. Pritchard, B.S. Richards, and S.R.
Wenham, “Design strategies for commercial solar cells using the buried contact technology,” IEEE Transactions on Electron Devices, vol. 46, no. 10, pp. 1984–1992, 1999.
[24] J.E. Cotter, H.R. Mehrvarz, K.R. McIntosh, C.B. Honsberg, and S.R. Wenham, “Combined emitter and groove diffusion in buried contact solar cells,” in 16th European
Photovoltaic Solar Energy Conference. 2000, p. ?, ?
[25] H.R. Mehrvarz, ,” 2002, Personal communications.
[26] J. Szlufcik, S. Sivoththaman, J.F. Nijs, R.P. Mertens, and R. van Overstraeten, “Lowcost industrial technologies of crystalline silicon solar cells,” Proceedings of the IEEE,
vol. 85, no. 5, pp. 711–730, 1997.
[27] T.M. Bruton, G. Luthardt, K.-D. Rasch, K. Roy, I.A. Dorrity, B. Garrard, L. Teale,
J. Alonso, U. Ugalde, K. Declerq, J. Nijs, J. Szlufcik, A. R¨auber, W. Wettling, and
A. Vallˆera, “A study of the manufacture at 500 MWp p.a. of crystalline silicon photovoltaic modules,” in 14th European Conference on Photovoltaics and Solar Energy
Conversion, Bedford, U.K., 1994, pp. 11–16, H.S. Stephens & Assoc.
[28] J. Zhao, A. Wang, M.A. Green, and F. Ferrazza, “19.8% efficient “honeycomb” textured multicrystalline and 24.4% monocrystalline silicon solar cells,” Applied Physics
Letters, vol. 73, no. 14, pp. 1991–1993, 1998.
[29] R.J.H. Clark, The Chemistry of Titanium and Vanadium, Elsevier, Amsterdam, 1968.
[30] W. Calderon, “Titanium dioxide,” IEEE Potentials, vol. February/March, pp. 13–16,
1995.
[31] W.D. Brown and W.W. Grannemann, “C-V characteristics of metal-titanium dioxidesilicon capacitors,” Solid-State Electronics, vol. 21, pp. 837–846, 1978.
[32] J.-H. Kim, S. Lee, and H.-S. Im, “The effect of different ambient gases, pressures,
and substrate temperatures on TiO2 thin films grown on Si(100) by laser ablation
technique,” Applied Physics A, vol. 69, pp. S629–S632, 1999.
[33] A. Bendavid, P.J. Martin, and H. Takikawa, “Deposition and modification of titanium
dioxide thin films by filtered arc deposition,” Thin Solid Films, vol. 360, pp. 241–249,
2000.
[34] G. Hass, “Preparation, properties and optical applications of thin films of titanium
dioxide,” Vacuum, vol. II, no. 4, pp. 331–345, 1952.
[35] B.S Richards, J.E. Cotter, C.B. Honsberg, and S.R. Wenham, “Novel uses of TiO2
films in crystalline silicon solar cells,” in 28th IEEE Photovoltaic Specialists Conference,
N.J., 2000, pp. 375–378, IEEE.
230
BIBLIOGRAPHY
[36] H. Schr¨oder, “Oxide layers deposited from organic solutions,” Physics of Thin Films,
vol. 5, pp. 87–141, 1969.
[37] G. Bauer, “Absolute values of the optical absorption constants of the alkali halide
crystals in the region of their ultraviolet characteristic frequencies,” Ann. Physik, vol.
19, pp. 434–464, 1934.
[38] O.S. Heavens, Optical Properties of Thin Solid Films, Butterworths Scientific Publications, London, 1955.
[39] R.L. Crabb and A. Atzel, “Environmental study of European silicon solar cells with
improved antireflection coatings,” in 8th IEEE Photovoltaics Specialists Conference.
1970, pp. 78–83, IEEE.
[40] R. Gereth, H. Fischer, E. Link, S. Mattes, and W. Pschunder, “Silicon solar cell
technology of the Seventies,” in 8th IEEE Photovoltaics Specialists Conference. 1970,
pp. 353–359, IEEE.
[41] D.J. Curtin and A. Meulenberg, “Statistical analysis of one MeV electron irradiation
of silicon solar cells,” in 8th IEEE Photovoltaics Specialists Conference. 1970, pp.
193–200, IEEE.
[42] W. Luft, “Status of TiO2 antireflection coating in the U.S.,” in 10th IEEE Photovoltaics
Specialists Conference. 1973, pp. 168–173, IEEE.
[43] A.G. Revesz, “Vitreous oxide antireflection films in high-efficiency solar cells,” in 10th
IEEE Photovoltaics Specialists Conference. 1973, pp. 180–181, IEEE.
[44] H.Y. Wang, F.T.S. Yu, and V.L. Simms, “Optimum design of antireflection coating
for silicon solar cells,” in 10th IEEE Photovoltaics Specialists Conference. 1973, pp.
168–173, IEEE.
[45] H. Nagel, A.G. Aberle, and R. Hezel, “Optimised antireflection coatings for planar
silicon solar cells using remote PECVD silicon nitride and porous silicon dioxide,”
Progress in Photovoltaics, vol. 7, pp. 245–260, 1999.
[46] W. Kern and E. Tracy, “Titanium dioxide antireflection coating for silicon solar cells
by spray deposition,” RCA Review, vol. 41, pp. 133–180, June 1980.
[47] A.D. Haigh, “Fired through printed contacts on antireflection coated silicon terrestrial
solar cells,” in 12th IEEE Photovoltaics Specialists Conference. 1976, pp. 360–361,
IEEE.
[48] M. Spiegel, R. T¨olle, C. Gerhards, C. Marckmann, N. Nussbaumer, P. Fath, G. Willeke,
and E. Bucher, “Implementation of hydrogen passivation in an industrial low-cost
BIBLIOGRAPHY
231
multicrystalline silicon solar cell process,” in 26th IEEE Photovoltaics Specialists Conference. 1997, pp. 151–154, IEEE.
[49] J.H. Wohlgemuth, D.B. Warfield, and G.A. Johnson, “Development of a new low cost
antireflection coating technique for solar cells,” in 16th IEEE Photovoltaics Specialists
Conference. 1982, pp. 809–812, IEEE.
[50] B.S. Richards, J.E. Cotter, and C.B. Honsberg, “Enhancing the surface passivation
of TiO2 -coated silicon wafers,” Applied Physics Letters, vol. 80, no. 7, pp. 1123–1125,
2002.
[51] DuPont Australia, Inc., “Technical information: “’TYZOR” organic titanates and
zirconates: Glass surface coatings,” 1999.
URL http://www.dupont.com/tyzor//H79547.pdf
[52] A.G. Aberle, “Surface passivation of crystalline silicon solar cells: A review,” Progress
in Photovoltaics, vol. 8, pp. 473–487, 2000.
[53] R. K¨
uhn, P. Fath, M. Spiegel, G. Willeke, E. Bucher, T.M. Bruton, N.B. Mason, and
R. Russell, “Multicrystalline buried-contact solar cells using a new electroless plating
metallization sequence and a high throughput mechanical groove formation,” in 14th
European Photovoltaic Solar Energy Conference, Barcelona, 1997, p. 672.
[54] H. El Omari, J.P. Boyeaux, and A. Laugier, “Screen printing aluminium-TiO2 -Si ohmic
contact,” in 14th European Conference on Photovoltaics and Solar Energy Conversion,
Bedford, U.K., 1994, pp. 827–829, H.S. Stephens & Assoc.
[55] P. van Halen, R.E. Thomas, R. Mertens, and R. van Overstraeten, “Inversion layer
silicon solar cells with MIS contact grids,” in 12th IEEE Photovoltaics Specialists
Conference. 1976, pp. 907–912, IEEE.
[56] P.E. Thomas, C.E. Norman, and R.B. North, “High efficiency MIS/inversion layer
silicon solar cells,” in 14th IEEE Photovoltaics Specialists Conference. 1980, pp. 1350–
1353, IEEE.
[57] M. Taguchi, K. Kawamoto, S. Tsuge, T. Baba, H. Sakai, M. Morizane, K. Uchihashi,
N. Nakamura, S. Kiyama, and O. Oota, “HITT M cells - high-efficiency crystalline Si
cells with novel structure,” Progress in Photovoltaics, vol. 8, pp. 503–513, 2000.
[58] H. Liang, Atmospheric Pressure Chemical Vapor Deposition of Textured Zinc Oxide,
Doped Titanium Dioxide, and Doped Zinc Oxide Thin Films, Ph.D. thesis, Harvard
University, 1997.
[59] W.A. Badawy, “Preparation, electrochemical, photoelectrochemical and solid-state
characteristics of indium-incorporated TiO2 thin films for solar cell fabrication,” Journal of Materials Science, vol. 32, pp. 4979–4984, 1997.
232
BIBLIOGRAPHY
[60] W. Badawy, F. Decker, and K. Doblhofer, “Preparation and properties of Si/SnO2
heterojunctions,” Solar Energy Materials, vol. 8, pp. 363–369, 1983.
[61] R.G. Gordon, Photovoltaic Cell, 1982.
[62] J.A. Woollam, WVASE Commercial Software Manual v.3.361, 2001, NE, U.S.A.
[63] B. O’Regan and M. Gr¨atzel, “A low-cost, high-efficiency solar cell based on dyesensitized colloidal TiO2 films,” Nature, vol. 353, pp. 737–740, 1991.
[64] Y. Li, J. Hagen, W. Schaffrath, P. Otschik, and D. Haarer, “Titanium dioxide films for
photovoltaic cells derived from a sol-gel process,” Solar Energy Materials and Solar
Cells, vol. 56, pp. 167–174, 1999.
[65] H. Yanagi, Y. Ohoka, T. Hishiki, K. Ajito, and A. Fujishima, “Characterization of dyedoped TiO2 films prepared by spray pyrolysis,” Applied Surface Science, vol. 113/114,
pp. 426–431, 1997.
[66] A.E. Feuersanger, “Titanium-dioxide dielectric films prepared by vapor reaction,”
Proceedings of the IEEE, vol. 52, no. 12, pp. 1463–1465, 1964.
[67] E.T. Fitzgibbons, K.J. Sladek, and W.H. Hartwig, “TiO2 film properties as a function
of processing temperature,” Journal of the Electrochemical Society, vol. 119, pp. 735–
739, 1972.
[68] R. Debnath and J. Chaudhuri, “Inhibiting effect of AlPO4 and SiO2 on the anatase →
rutile transformation reaction: An x-ray and laser Raman study,” Journal of Materials
Research, vol. 7, no. 12, pp. 3348–3351, 1992.
[69] F.C. Gennari and D.M. Pasquevich, “Enhancing effect of iron chlorides on the anataserutile transition in titanium dioxide,” Journal of the American Ceramic Society, vol.
82, no. 7, pp. 1915–1921, 1999.
[70] DuPont, Inc., “Notes on ti-pure product from DuPont,” 1998.
URL http://www.dupont.com/tipure/plastics/
[71] Y. Takahashi, K. Tsuda, K. Sugiyama, H. Minoura, D. Makino, and M. Tsuiki, “Chemical vapour deposition of TiO2 film using an organometallic process and its photoelectrochemical behaviour,” Journal of the Chemical Society: Faraday Transactions 1, vol.
77, pp. 1051–1057, 1981.
[72] K. H. Guenther, “Recent progress in optical coating technology: Low voltage ion
plating deposition,” in SPIE, 1990, vol. 1270, pp. 211–221.
[73] H.K. Pulker, G. Paesold, and E. Ritter, “Refractive indices of TiO2 films produced by
reactive evaporation of various titanium-oxygen phases,” Applied Optics, vol. 15, no.
12, pp. 2986–2991, 1976.
BIBLIOGRAPHY
233
[74] S. Zhang, Y.F. Zhu, and D.E. Brodie, “Photoconducting TiO2 prepared by spray
pyrolysis using TiCl4 ,” Thin Solid Films, vol. 213, pp. 265–270, 1992.
[75] S.A. Campbell, H.-S. Kim, D.C. Gilmer, B. He, T. Ma, and W.L. Gladfelter, “Titanium
dioxide (TiO2 )-based gate insulators,” IBM Journal of Research and Development, vol.
43, no. 3, pp. 383–392, 1999.
[76] L.L. Matskevich and V.V. Bazhinov, “Titanium dioxide optical coatings,” Soviet
Journal of Optical Technology, vol. 44, no. 2, pp. 98–99, 1977.
[77] Y. Takahashi, H. Suzuki, and M. Nasu, “Rutile growth at the surface of TiO2 films deposited by vapour-phase decomposition of isopropyl titanate,” Journal of the Chemical
Society: Faraday Transactions 1, vol. 81, pp. 3117–3125, 1985.
[78] A. Aoki and G. Nogami, “Fabrication of anatase thin films from peroxo-polytitanic
acid by spray pyrolysis,” Journal of the Electrochemical Society, vol. 143, no. 9, pp.
L191–192, 1996.
[79] J.M.G. Amores, V.C. Escribano, and G. Busca, “Anatase crystal growth and phase
transformation to rutile in high-area TiO2 , MoO3 −TiO2 and other TiO2 -supported
oxide catalytic systems,” Journal of Material Chemistry, vol. 5, no. 8, pp. 1245–1249,
1995.
[80] C.N.R. Rao, A. Turner, and J.M. Honig, “Some observations concerning the effect of
impurities on the anatase-rutile transition,” The Physics and Chemistry of Solids, an
International Journal, vol. 11, pp. 173–175, 1959.
[81] M.K. Akhtar, S.E. Pratsinis, and S.V.R. Mastrangelo, “Effect of dopants in vapor
phase synthesis of titania powders,” Materials Research Symposium Proceedings, vol.
271, pp. 951–956, 1992.
[82] D. Mardare and P. Hones, “Optical dispersion analysis of TiO2 thin films based
on variable-angle spectroscopic ellipsometry measurements,” Materials Science and
Engineering B, vol. 68, pp. 42–47, 1999.
[83] D. Bersani, R. Capelletti, P.P. Lottici, G. Gnappi, and A. Montenero, “Anatase to
rutile phase transformations in K-doped TiO2 prepared by sol gel,” Materials Science
Forum, vol. 239-241, pp. 87–90, 1997.
[84] K. Kenevey, M.A. Morris, J. Cunningham, and G. Ferrand, “Stabilization of anatase
by tungsten introduced to the titania lattice by sol-gel and impregnation techniques,”
Key Engineering Materials, vol. 118-119, pp. 303–310, 1996.
[85] Y. Iida and S. Ozaki, “Grain growth and phase transformation of titanium oxide during
calcination,” Journal of the American Ceramic Society, vol. 44, no. 3, pp. 120–127,
1961.
234
BIBLIOGRAPHY
[86] I.P. Alekseeva, N.M. Belyaevskaya, Y.S. Bobovich, M.Y. Tsenter, and T.I. Chuvaeva, “Generation, interpretation, and some examples of the use of raman spectra of
titanium-dioxide activated devitrified glasses,” Optical Spectroscopy (USSR), vol. 45,
no. 5, pp. 775–780, 1978.
[87] S.C. Martin, C.L. Morrison, and M.R. Hoffmann, “Photochemical mechanism of sizequantized vanadium-doped TiO2 particles,” Journal of Physical Chemistry, vol. 98,
pp. 13695–13704, 1994.
[88] R.D. Shannon and J.A. Pask, “Kinetics of the anatase-rutile transformation,” Journal
of the American Ceramic Society, vol. 48, no. 8, pp. 391–398, 1965.
[89] M. Murozono, S. Kitamura, T. Ohmura, K. Kusao, and Y. Umeo, “Titanium dioxide
antireflective coating for silicon solar cells by spinning technique,” Japanese Journal
of Applied Physics, vol. 21, no. Supplement 21-2, pp. 137–141, 1982.
[90] T-K. Won, S-G. Yoon, and H-G. Kim, “Compositional analysis and capacitancevoltage properties of TiO2 films by low pressure metal-organic chemical vapor deposition,” Journal of the Electrochemical Society, vol. 139, no. 11, pp. 3284–3288, 1992.
[91] J-P. Lu, J. Wang, and R. Raj, “Solution precursor chemical vapor deposition of
titanium oxide films,” Thin Solid Films, vol. 204, pp. L13–17, 1991.
[92] T.W. Kim, M. Jung, H.J. Kim, T.H. Park, Y.S. Yoon, W.N. Kang, S.S. Yom, and H.K.
Na, “Optical and electrical properties of titanium dioxide films with a high magnitude
dielectric constant grown on p-si by metalorganic chemical vapor deposition at low
temperature,” Applied Physics Letters, vol. 64, no. 11, pp. 1407–1409, 1994.
[93] W.G. Lee, S.I. Woo, J.C. Kim, S.H. Choi, and K.H. Oh, “Preparation and properties
of amorphous TiO2 thin films by plasma enhanced chemical vapor deposition,” Thin
Solid Films, vol. 237, pp. 105–111, 1994.
[94] P. Babelon, A.S. Dequiedt, H. Most´efa-Sba, S. Bourgeois, P. Sibillot, and M. Sacilotti,
“SEM and XPS studies of titanium dioxide thin films grown by MOCVD,” Thin Solid
Films, vol. 322, pp. 63–67, 1998.
[95] M. Lemiti, J.P. Boyeaux, M. Vernay, H. El Omari, E. Fourmond, and A. Laugier, “The
effect of precursors on titanium oxide antireflection coating,” in 2nd World Conference
and Exhibition on Photovoltaic Solar Energy Conversion, Ispra, Italy, 1998, pp. 1471–
1474, European Commission.
[96] Y.S. Yoon, W.N. Kang, S.S. Yom, T.W. Kim, M. Jung, T.H. Park, K.Y. Seo, and J.Y.
Lee, “Structural properties of titanium dioxide films grown on p-Si by metal-organic
chemical vapor deposition at low temperature,” Thin Solid Films, vol. 238, pp. 12–14,
1994.
BIBLIOGRAPHY
235
[97] C. Martinet, V. Paillard, A. Gagnaire, and J. Joseph, “Deposition of SiO2 and TiO2
thin films by plasma enhanced chemical vapor deposition for antireflection coating,”
Journal of Non-Crystalline Solids, vol. 216, pp. 77–82, 1997.
[98] A. Weber, R. Poeckelmann, and C-P. Klages, “Plasma cvd of high quality titanium
nitride using titanium (iv) isopropoxide as precursor,” Microelectronic Engineering,
vol. 33, pp. 277–282, 1997.
[99] Y.M. Wu and R.M. Nix, “Growth of TiO2 overlayers by chemical vapour deposition
on a single-crystal copper substrate,” Journal of Materials Chemistry, vol. 4, no. 9,
pp. 1403–1407, 1994.
[100] R.W. Phillips and J.W. Dodds, “Optical interference coatings prepared from solution,”
Applied Optics, vol. 20, no. 1, pp. 40–47, 1981.
[101] A. Goossens, E.-L. Maloney, and J. Schoonman, “Gas-phase synthesis of nanostructured anatase TiO2 ,” Chemical Vapor Deposition, vol. 4, no. 3, pp. 109–114, 1998.
[102] B.E. Yoldas, “Deposition and properties of optical oxide coatings from polymerized
solutions,” Applied Optics, vol. 21, no. 16, pp. 2960–2964, 1982.
[103] G.A. Battiston, R. Gerbasi, M. Porchia, and A. Marigo, “Influence of substrate on
structural properties of TiO2 thin films obtained via MOCVD,” Thin Solid Films, vol.
239, pp. 186–191, 1994.
[104] E. Fredriksson and J.O. Carlsson, “Chemical vapor deposition of titanium oxides,”
Journal of Vacuum Science and Technology A, vol. 4, no. 6, pp. 2706–, 1986.
[105] H.L.M. Chang, H. You, J. Guo, and D.J. Lam, “Epitaxial TiO2 and VO2 films prepared
by mocvd,” Applied Surface Science, vol. 48/49, pp. 12–18, 1991.
[106] V.A. Versteeg, C.T. Avedisian, and R. Raj, “Metalorganic chemical vapor deposition
by pulsed liquid injection using an ultrasonic nozzle: Titanium dioxide on sapphire
from titanium (IV) isopropoxide,” Journal of the American Ceramic Society, vol. 78,
no. 10, pp. 2763–2768, 1995.
[107] Y. Leprince-Wang, D. Souche, K. Yu-Zhang, S. Fisson, G. Vuye, and J. Rivory, “Relations between the optical properties and the microstructure of TiO2 thin films prepared
by ion-assisted deposition,” Thin Solid Films, vol. 359, pp. 171–176, 2000.
[108] T. Maruyama and S. Arai, “Titanium dioxide thin films prepared by chemical vapor
deposition,” Solar Energy Materials and Solar Cells, vol. 26, no. 4, pp. 323–329, 1992.
[109] G. Gusmano, G. Montesperelli, P. Nunziante, E. Traversa, A. Montenero, M. Braghini, G. Mattogno, and A. Bearzotti, “Humidity-sensitive properties of titania films
236
BIBLIOGRAPHY
prepared using the sol-gel process,” Journal of the Ceramic Society of Japan (Int.
Edition), vol. 101, pp. 1066–1070, 1993.
[110] J.-S. Chen, S. Chao, J.-S. Kao, G.-R. Lai, and W.-H. Wang, “Substrate-dependent
optical absorption characteristics of titanium dioxide thin films,” Applied Optics, vol.
36, no. 19, pp. 4403–4408, 1997.
[111] J. Yuan and S. Tsujikawa, “Characterization of sol-gel derived TiO2 coatings and their
photoeffects on copper substrates,” Journal of the Electrochemical Society, vol. 142,
no. 10, pp. 3444–3450, 1995.
[112] T. Nishide and F. Mizukami, “Preparation and properties of TiO2 films by complexing
agent-assisted sol-gel method,” Journal of the Ceramic Society of Japan, vol. 100, pp.
1106–1110, 1992.
[113] Y.H. Lee, “A role of energetic ions in RF-biased PECVD of TiO2 ,” Vacuum, vol. 51,
no. 4, pp. 503–509, 1998.
[114] J. Szlufcik, J. Majewski, A. Buczkowski, J. Radojewski, L. Jedral, and E.B. Radojeweska, “Screen-printed titanium dioxide anti-reflection coating for silicon solar cells,”
Solar Energy Materials, vol. 18, pp. 241–252, 1989.
[115] N. Golego, S.A. Studenikin, and M. Cocivera, “Spray pyrolysis preparation of porous
thin films of titanium dioxide containing Li and Nb,” Journal of Materials Research,
vol. 14, no. 3, pp. 698–707, 1999.
[116] S.R. Kurtz and R.G. Gordon, “Chemical vapor deposition of doped TiO2 thin films,”
Thin Solid Films, vol. 147, pp. 167–176, 1997.
[117] V.G. Erkov, S.F. Devyatova, E.L. Molodstova, T.V. Malsteva, and U.A. Yanovskii,
“Si-TiO2 interface evolution at prolonged annealing in low vacuum or N2 O ambient,”
Applied Surface Science, vol. 166, pp. 51–56, 2000.
[118] M. Yokozawa, H. Iwasa, and I. Teramoto, “Vapor deposition of TiO2 ,” Japanese
Journal of Applied Physics, vol. 7, pp. 96–97, 1968.
[119] P. L¨obl, M. Huppertz, and D. Mergel, “Nucleation and growth in TiO2 films prepared
by sputtering and evaporation,” Thin Solid Films, vol. 251, pp. 72–79, 1994.
[120] H. Tang, H. Berger, P.E. Schmid, and F. L´evy, “Optical properties of anatase TiO2 ,”
Solid State Communications, vol. 92, no. 3, pp. 267–271, 1994.
[121] C.R. Ottermann and K. Bange, “Correlation between the density of TiO2 films and
their properties,” Thin Solid Films, vol. 286, pp. 32–34, 1996.
BIBLIOGRAPHY
237
[122] M. Laube, F. Rauch, C. Ottermann, O. Anderson, and K. Bange, “Density of thin
TiO2 films,” Nuclear Instruments and Methods in Physics Research B, vol. 113, pp.
288–292, 1996.
[123] D. Mergel, D. Buschendorf, S. Eggert, R. Grammes, and B. Samset, “Density and
refractive index of TiO2 films prepared by reactive evaporation,” Thin Solid Films,
vol. 371, pp. 218–224, 2000.
[124] H.J. Frenck, W. Klusch, M. Kuhr, and R. Kassing, “Deposition of TiO2 thin films
by plasma-enhanced decomposition of tetraisopropyltitanante,” Thin Solid Films, vol.
201, pp. 327–335, 1991.
[125] M. H¨
uppauff, K. Bange, and B. Lengeler, “Density, thickness and interface roughness
of SiO2 , TiO2 and Ta2 O5 on BK-7 glasses analyzed by X-ray reflection,” Thin Solid
Films, vol. 230, pp. 191–198, 1993.
[126] M.W. Ribarsky, “Titanium dioxide (TiO2 ) (rutile),” in Handbook of Optical Constants
(Vol. 1), E. Palik, Ed., pp. 795–804. Academic Press Inc., Orlando, 1985.
[127] B.E. Yoldas and D.P. Partlow, “Formation of broad band antireflective coatings on
fused silica for high power laser applications,” Thin Solid Films, vol. 129, pp. 1–14,
1985.
[128] M.M. Rahman, G. Yu, K.M. Krishna, T. Soga, J. Watanabe, T. Jimbo, and M. Umeno,
“Determination of optical constants of solgel-derived inhomogeneous TiO2 thin films
by spectroscopic ellipsometry and transmission spectroscopy,” Applied Optics, vol. 37,
no. 4, pp. 691–697, 1998.
[129] G. San Vicente, A. Morales, and M.T. Gutierrez, “Preparation and characterization of
sol-gel TiO2 antireflective coatings for silicon,” Thin Solid Films, vol. 391, pp. 133–137,
2001.
[130] D.C. Wong, A. Waugh, B. Yui, and P. Sharrock, “The effect of annealing and oxidation
on APCVD TiOx film and its impact on the process of silicon solar cells,” in 1st World
Conference on Photovoltaic Energy Conversion, N.J., 1994, pp. 1473–1476, IEEE.
[131] M.W. Chase, NIST-JANAF Thermochemical Tables, American Chemical Society ;
American Institute of Physics for the National Institute of Standards and Technology,
Woodbury, N.Y, 4th edition, 1998.
[132] H.K. Ardakani, “Electrical and optical properties of in situ “hydrogen-reduced” titanium dioxide thin films deposited by pulsed excimer laser ablation,” Thin Solid Films,
vol. 248, pp. 234–239, 1994.
[133] A. von Hippel, J. Kalnajs, and W.B. Westphal, “Protons, dipoles and charge carriers
in rutile,” Journal of Physical Chemistry of Solids, vol. 23, pp. 779–799, 1962.
238
BIBLIOGRAPHY
[134] G.A. Battiston, R. Gerbasi, A. Gregori, M. Porchia, S. Cattarin, and G.A. Rizzi,
“PECVD of amorphous TiO2 thin films: Effect of growth temperature and plasma gas
composition,” Thin Solid Films, vol. 371, pp. 126–131, 2000.
[135] S. Chen, M.G. Mason, H.J. Gysling, G.R. Paz-Pujalf, T.N. Blanton, T. Castro, K.M.
Chen, C.P. Fictorie, W.L. Gladfelter, A. Francosi, and P.I. Cohen, “Ultrahigh vacuum
metalorganic chemical vapor deposition growth and in situ characterization of epitaxial
TiO2 films,” Journal of Vacuum Science and Technology A, vol. 11, pp. 2419–2429,
1993.
[136] W.M. Feist, S.R. Steele, and D.W. Readey, “The preparation of thin films by chemical
vapor deposition,” Physics of Thin Films, vol. 5, pp. 237–315, 1969.
[137] H.K. Pulker, “Characterization of optical thin films,” Applied Optics, vol. 18, no. 12,
pp. 1969–1977, 1979.
[138] W.-H. Wang and S. Chao, “Annealing effect on ion-beam-sputtered titanium dioxide
film,” Optics Letters, vol. 23, no. 18, pp. 1417–1419, 1998.
[139] H.E. Bennett, “Scattering characteristics of optical materials,” Optical Engineering
(Bellingham), vol. 17, pp. 480–488, 1978.
[140] S.Y. Kim, H.J. Kim, H.M. Cho, and Y.W. Lee, “Determination of micro-structure
related optical constants of titanium dioxide thin films using optical methods,” Proceedings of SPIE, vol. 2873, pp. 234–237, 1996.
[141] R.J. Meyer and E.H.E. Pietsch, Gmelins Handbuch Der Anorganischen Chemie: Titan,
vol. 41, Verlag Chemie, Weinheim, 1951.
[142] W.D. Kingery, H.K. Bowen, and D.R. Uhlmann, Introduction to Ceramics, Wiley,
New York, 1976.
[143] E.W. Washburn, International Critical Tables of Nuemrical Data, Physics, Chemistry
and Technology, Mc-Graw-Hill, New York, 1930.
[144] S.Y. Kim, “Simultaneous determination of refractive index, extinction coefficient, and
void distribution of titanium dioxide thin film by optical methods,” Applied Optics,
vol. 35, no. 34, pp. 6703–6707, 1996.
[145] H. Tang, K. Prasad, R. Sanjin`es, P.E. Schmid, and F. L´evy, “Electrical and optical
properties of TiO2 anatase thin films,” Journal of Applied Physics, vol. 75, no. 4, pp.
2042–2047, 1994.
[146] V. Mikhelashvili and G. Eisenstein, “Effects of annealing conditions on optical and
electrical characteristics of titanium dioxide films deposited by electron beam evaporation,” Journal of Applied Physics, vol. 89, no. 6, pp. 3256–3269, 2001.
BIBLIOGRAPHY
239
[147] T. Fuyuki and H. Matsunami, “Electronic properties of the interface between Si and
TiO2 deposited at very low temperatures,” Japanese Journal of Applied Physics, vol.
25, no. 9, pp. 1288–1291, 1986.
[148] H.J. Hovel, “TiO2 antireflection coatings by a low temperature spray process,” Journal
of Electrochemical Society, vol. 125, no. 6, pp. 983–985, 1978.
[149] J.D. DeLoach, G. Scarel, and C.R. Aita, “Correlation between titania film structure
and near ultraviolet optical absorption,” Journal of Applied Physics, vol. 85, no. 4,
pp. 2377–2384, 1999.
[150] O. Kamataki, S. Iida, T. Saitoh, and T. Uematsu, “Characterization of antireflection
films for surface-passivated crystalline silicon solar cells using spectroscopic ellipsometry,” in 21st IEEE Photovoltaic Specialists Conference. 1990, vol. ?, pp. 363–367,
IEEE.
[151] A.R. Forouhi and I. Bloomer, “Calculation of optical constants, n and k, in the
interband region,” in Handbook of Optical Constants of Solids II, E.D. Palik, Ed.,
Toronto, 1991, pp. 151–175, Academic Press.
[152] K.L. Jiao and W.A. Anderson, “SiO2 /TiO2 double-layer antireflective coating deposited at room temperature for metal/insulator/n-Si/p-Si solar cells,” Solar Cells,
vol. 22, pp. 229–236, 1987.
[153] K. Zakrzewska, A. Brudnki, M. Radecka, and W. Posadowski, “Reactively sputtered TiO2−x thin films with plasma-emission-controlled departure from stoichiometry,” Thin Solid Films, vol. 343-344, pp. 152–155, 1999.
[154] H. Demiryont and J.R. Sites, “Effects of oxygen in ion-beam sputter deposition of
titanium oxides,” Journal of Vacuum Science and Technology A, vol. 2, no. 4, pp.
1457–1460, 1984.
[155] T. Fuyuki, T. Kobayashi, and H. Matsunami, “Effects of small amount of water on
physical and electrical properties of TiO2 films deposited by CVD method,” Journal
of the Electrochemical Society, vol. 135, no. 1, pp. 248–250, 1988.
[156] C.R. Ottermann, K. Bange, W. Wagner, M. Laube, and F. Rauch, “Correlation of
hydrogen content with properties of oxidic thin films,” Surface and Interface Analysis,
vol. 19, pp. 435–438, 1992.
[157] B.E. Yoldas and T.W. O’Keeffe, “Antireflective coatings applied from metal-organic
derived liquid precursors,” Applied Optics, vol. 18, no. 18, pp. 3133–3138, 1979.
[158] D.A.G. Bruggeman, “Berechnung verschiedener physicalische konstanten von heterogenen substanzen,” Ann. Phys., vol. 24, pp. 636–664, 1935.
240
BIBLIOGRAPHY
[159] D.E. Aspnes and J.B. Theeten, “Investigation of effective medium models of microscopic surface roughness by spectroscopic ellipsometry,” Physical Review B, vol. 20,
pp. 3292–3302, 1979.
[160] D. Bhattacharyya, N.K. Sahoo, S. Thakur, and N.C. Das, “Spectroscopic ellipsometry
of TiO2 layers prepared by ion-assisted electron-beam deposition,” Thin Solid Films,
vol. 360, pp. 96–102, 2000.
[161] V. Nguyen Van, S. Fisson, J.M. Frigerio, J. Rivory, G. Vuye, Y. Wang, and F. Abel´es,
“Growth of low and high refractive index dielectric layers as studied by in situ ellipsometry,” Thin Solid Films, vol. 253, pp. 257–261, 1994.
[162] M. Harris, H.A. Macleod, S. Ogura, E. Pelletier, and B. Vidal, “The relationship
between optical inhomogeneity and film structure,” Thin Solid Films, vol. 57, pp.
173–178, 1979.
[163] J.P. Borgogno, F. Flory, P. Roche, B. Schmitt, G. Albrand, E. Pelletier, and H.A.
Macleod, “Refractive index and inhomogeneity of thin films,” Applied Optics, vol. 23,
no. 20, pp. 3567–3570, 1984.
[164] Y. Leprince-Wang and K. Yu-Zhang, “Study of the growth morphology of TiO2 thin
films by AFM and TEM,” Surface and Coatings Technology, vol. 140, pp. 155–160,
2001.
[165] S. Ben Amor, G. Baud, J.P. Besse, and M. Jacquet, “Elaboration and characterization
of titania coatings,” Thin Solid Films, vol. 293, pp. 163–169, 1997.
[166] S. Fujitsu and T. Hamada, “Electrical properties of manganese-doped titanium dioxide,” Journal of the American Ceramic Society, vol. 77, pp. 3281–3283, 1994.
[167] S.A. Campbell, D.C. Gilmer, X.-C. Wang, M.-T. Hsieh, H.-S. Kim, W.L. Gladfelter,
and J. Yan, “MOSFET transistors fabricated with high permitivity TiO2 dielectrics,”
IEEE Transactions on Electron Devices, vol. 44, no. 1, pp. 104–109, 1997.
[168] N. Golego, S. Studenikin, and M. Cocivera, “Thin-film polycrystalline titanium dioxide
grown by spray pyrolysis,” On-line abstract for Surface Canada ’97 conference (May
21-24, Sherbrooke, Canada), 1997.
URL http://www.chembio.uoguelph.ca/golego/abstract/abstr 7.htm
[169] S. Tohyama, Solid-State Image Sensor and Method of Fabricating the Same, NEC
Corporation (Japan), 1998, European Patent Application EP0858112A2.
[170] Y. Takahashi, A. Ogiso, R. Tomoda, K. Sugiyama, H. Minoura, and M. Tsuiki, “Electrical and electrochemical properties of TiO2 films grown by organometallic chemical
vapour deposition,” Journal of the Chemical Society, Faraday Transactions 1, vol. 78,
pp. 2563–2571, 1982.
BIBLIOGRAPHY
241
[171] J.T. Mayer, U. Diebold, T.E. Madey, and E. Garfunkel, “Titanium and reduced
titania overlayers on titanium dioxide(110),” Journal of Electron Spectroscopy and
Related Phenomena, vol. 73, pp. 1–11, 1995.
[172] P. Kofstad, Nonstoichiometry, Diffusion, and Electrical Conductivity in Binary Metal
Oxides, Wiley-Interscience, New York, 1972.
[173] N. Tsuda, K. Nasu, A. Yanase, and K. Siratori, Electronic Conduction in Oxides,
Springer-Verlag, Berlin, 1983.
[174] C.N.R. Rao, R.E. Loehman, and J.M. Honig, “Crystallographic study of the transition
in Ti2 O3 ,” Physics Letters, vol. 27A, no. 5, pp. 271–272, 1959.
[175] P. Knauth and H.L. Tuller, “Electrical and defect thermodynamic properties of
nanocrystalline titanium dioxide,” Journal of Applied Physics, vol. 85, pp. 897–902,
1999.
[176] S.-H. Kim, D.-S. Chung, K.-C. Park, K.-B. Kim, and S.-H. Min, “A comparative study
of film properties of chemical vapor deposited TiN films as diffusion barriers for Cu
metallization,” Journal of the Electrochemical Society, vol. 146, no. 4, pp. 1455–1460,
1999.
[177] M.A. Rashti and D.E. Brodie, “The photoresponse of high resistance anatase TiO2
films prepared by the decomposition of titanium isopropoxide,” Thin Solid Films, vol.
240, pp. 163–167, 1994.
[178] K.S. Yeung and Y.W. Lam, “A simple chemical vapour deposition method for depositing thin TiO2 films,” Thin Solid Films, vol. 109, pp. 169–178, 1983.
[179] D.R. Harbison and H.L. Taylor, “Electrical properties of titanium dioxide deposited
by chemical vapor transport,” in Thin Film Dielectrics, F. Vratney, Ed., N.Y., 1969,
pp. 254–278, Electrochemical Society.
[180] A.G. Aberle, Crystalline Silicon Solar Cells: Advanced Surface Passivation and Analysis, Centre for Photovoltaic Engineering, Sydney, 1999.
[181] U. Moriyama, Semiconductor Device Capacitor, Toshiba Corp., 1989, Japanese Patent
No. JP01084656A.
[182] D. Mardare and G.I. Rusu, “Structural and electrical properties of TiO2 RF sputtered
thin films,” Materials Science and Engineering B, vol. 75, pp. 68–71, 2000.
[183] A. Bernasik, M. Rekas, M. Sloma, and W. Weppner, “Electrical surface versus bulk
properties of Fe-doped TiO2 single crystals,” Solid State Ionics, vol. 72, pp. 12–18,
1994.
242
BIBLIOGRAPHY
[184] J. Gautron, J.F. Marucco, and P. Lemasson, “Reduction and doping of semiconducting
rutile (TiO2 ),” Materials Research Bulletin, vol. 16, no. 5, pp. 575–580, 1981.
[185] M.A. Butler, “Aging effects in defect-doped semiconducting electrodes,” Journal of
the Electrochemical Society, vol. 126, no. 2, pp. 338–341, 1979.
[186] D.S. Ginley and M.L. Knotek, “Hydrogen in titanium dioxide photoanodes,” Journal
of the Electrochemical Society, vol. 126, no. 12, pp. 2163–2166, 1979.
[187] L. Forro, O. Chauvet, D. Emin, L. Zuppiroli, H. Berger, and F. L´evy, “High mobility
n-type charge carriers in large single crystals of anatase (TiO2 ),” Journal of Applied
Physics, vol. 75, pp. 633–635, 1994.
[188] G.H. Johnson, “Influence of impurities on electrical conductivity of rutile,” Journal
of the American Ceramic Society, vol. 36, pp. 97–101, 1953.
[189] S.N. Subbarao, Y.H. Yun, R. Kershaw, K. Dwight, and A. Wold, “Electrical and
optical properties of the system TiO2−x Fx ,” Inorganic Chemistry, vol. 18, no. 2, pp.
488–492, 1979.
[190] V.N. Bogomolov, I.A. Smirnov, and E.V. Shadrichev, “Thermal conductivity, thermoemf, and electrical conductivity of pure and doped rutile (titanium dioxide) singlecrystals,” Fiz. Tverd. Tela, vol. 11, no. 11, pp. 3214–3224, 1969.
[191] K. Yokota, T. Yamada, T. Sasagawa, L. Nakamura, and F. Miyashita, “An effect of preheat-treatment on the formation of titanium-oxide films by sintering a
titanium/silicon-oxide structure in an oxygen atmosphere,” Thin Solid Films, vol.
343-344, pp. 138–141, 1999.
[192] H. Tang, Electronic Properties of Anatase TiO2 Investigated by Electrical and Optical
´
Measurements on Single Crystals and Thin Films, Ph.D. thesis, Ecole
Polytechnique
F´ed´erale de Lausanne, 1994.
[193] W. Badawy and E.A. El-Taher, “Preparation and electrochemical behaviour of some
metal oxide films,” Thin Solid Films, vol. 158, pp. 277–284, 1988.
[194] W. Kern and P.A. Puotinen, “Cleaning solutions based on hydrogen peroxide for use
in silicon semiconductor technology,” RCA Review, vol. 31, pp. 187–, 1970.
[195] N. Rausch and E.P. Burte, “Thin TiO2 films prepared by low pressure chemical vapor
deposition,” Journal of Electrochemical Society, vol. 140, no. 1, pp. 145–149, 1993.
[196] M. Balog, M. Schieber, S. Patai, and M. Michman, “Thin films of metal oxides on
silicon by chemical vapor deposition with organometallic compounds,” Journal of
Crystal Growth, vol. 17, pp. 298–301, 1972.
BIBLIOGRAPHY
243
[197] G.P. Burns, “Titanium dioxide dielectric films formed by rapid thermal oxidation,”
Journal of Applied Physics, vol. 65, no. 5, pp. 2095–2097, 1989.
[198] R.R.A. Syms and A.S. Holmes, “Deposition of thick silica-titania sol-gel films on Si
substrates,” Journal of Non-Crystalline Solids, vol. 170, pp. 223–233, 1994.
[199] J. Barksdale, Titanium. It’s Occurrence, Chemistry, and Technology, Ronald Press,
New York, 1949.
[200] C-W. Hsieh, A.S.T. Chiang, C-C. Lee, and S-J. Yang, “Preparation of TiO2 -B2 O3
coating by the sol-gel method,” Journal of Non-Crystalline Solids, vol. 144, pp. 53–62,
1992.
[201] C.J. Brinker and M.S. Harrington, “Sol-gel derived antireflective coatings for silicon,”
Solar Energy Materials, vol. 5, pp. 159–172, 1981.
[202] A.U. Ebong, Double Sided Buried Contact Silicon Solar Cells, Ph.D. thesis, University
of New South Wales, 1994.
[203] A.K.-L. Fung, “The incorporation of titanium dioxide into the buried contact solar cell
processing sequence,” Undergraduate thesis, University of New South Wales, 1995.
[204] D.C. Wong, J. Twaddle, J. Nicholson, and A. Waugh, “BTU international CVD TiO2
“dry” process,” in International SAMPRE Electronics Conference Series, 1991, vol. 5,
pp. 478–486.
[205] K.J. Sladek and H.M. Herron, “Titanium dioxide coatings: Room temperature deposition,” Ind. Eng. Chem. Prod. Res. Develop., vol. 11, no. 1, pp. 92–96, 1972.
[206] W.W. Xu, R. Kershaw, K. Dwight, and A. Wold, “Preparation and characterization
of TiO2 films by a novel spray pyrolysis method,” Material Research Bulletin, vol. 25,
pp. 1385–1392, 1990.
[207] M. Gartner, C. Parlog, and P. Osiceanu, “Spectroellipsometric characterization of
lanthanide-doped TiO2 films obtained via the sol-gel technique,” Thin Solid Films,
vol. 234, pp. 561–565, 1993.
[208] H. Somberg, “Spray pyrolysis of organo-metallic compounds for passivation layers on
semicrystalline silicon solar cells,” in 20th IEEE Photovoltaics Specialists Conference.
1988, pp. 1557–1559, IEEE.
[209] J.C. Manifacier, “Thin metallic oxides as transparent conductors,” Thin Solid Films,
vol. 90, no. 3, pp. 297–308, 1982.
[210] G. Blandenet, M. Court, and Y. Lagarde, “Thin layers deposited by the pyrosol
process,” Thin Solid Films, vol. 77, no. 1-3, pp. 81–90, 1981.
244
BIBLIOGRAPHY
[211] C.M. Lee and S.J. Park, “Preparation of ferroelectric BaTiO3 thin films by metal
organic chemical vapour deposition,” Journal of Materials Science: Materials in Electronics, vol. 1, pp. 219–224, 1990.
[212] S. Krumdieck and R. Raj, “Conversion efficiency of alkoxide precursor to oxide films
grown by ultrasonic-assisted, pulsed liquid injection, metalorganic chemical vapor deposition (pulsed-CVD) process,” Journal of the American Ceramic Society, vol. 82,
no. 6, pp. 1605–1607, 1999.
[213] K. Bange, C.R. Ottermann, O. Anderson, U. Jeschkowski, M. Laube, and R. Feile,
“Investigations of TiO2 films deposited by different techniques,” Thin Solid Films,
vol. 197, pp. 279–285, 1991.
[214] L.M. Williams and D.W. Hess, “Structural properties of titanium dioxide films deposited in an RF glow discharge,” Journal of Vacuum Science and Technology A, vol.
1, no. 4, pp. 1810–1819, 1983.
[215] C.R. Ottermann, J. Otto, U. Jeschkowski, O. Anderson, M. Heming, and K. Bange,
“Stress of TiO2 thin films produced by different deposition techniques,” Materials
Research Symposium Proceedings, vol. 308, pp. 69–75, 1993.
[216] S.-I. Pyun, J.-W. Park, and Y.-G. Yoon, “Hydrogen permeation through PECVD
(plasma enhanced chemical vapor deposition) TiO2 film on Pd by the time lag method,”
Journal of Alloys and Compounds, vol. 231, pp. 315–320, 1995.
[217] D.C. Wong and A. Waugh, “Cost impacts of anti-reflection coatings on silicon solar
cells,” Materials Research Symposium Proceedings, vol. 426, pp. 503–511, 1996.
[218] J.M. Gee, R. Gordon, and H. Liang, “Optimization of textured-dielectric coatings for
crystalline-silicon solar cells,” in 25th IEEE Photovoltaics Specialists Conference. 1996,
pp. 733–736, IEEE.
[219] M. Lemiti, J.P. Boyeaux, H. El Omari, A. Kaminski, and A. Laugier, “Rapid thermal
annealing applied to the optimization of titanium arc oxide,” Materials Science in
Semiconductor Processing, vol. 1, pp. 331–334, 1998.
[220] G.A. Battiston, R. Gerbasi, M. Porchia, and L. Rizzo, “TiO2 coating by atmospheric
pressure MOCVD in a conveyer belt furnace for industrial applications,” Chemical
Vapour Deposition, vol. 5, no. 2, pp. 73–77, 1999.
[221] V. Gauthier, S. Bourgeois, P. Sibillot, M. Maglione, and M. Sacilotti, “Growth and
characterization of AP-MOCVD iron doped titanium dioxide thin films,” Thin Solid
Films, vol. 340, pp. 175–182, 1999.
BIBLIOGRAPHY
245
[222] M.D. Hudson, C. Trundle, and C.J. Brierly, “Photochemical deposition and characterization of Al2 O3 and TiO2 ,” Journal of Material Research, vol. 3, no. 6, pp. 1151–1157,
1988.
[223] D.H. Lee, Y.S. Cho, Y.I. Yi, T.S. Kim, J.K. Lee, and H.J. Jung, “Metalorganic
chemical vapour deposition of TiO2 :N anatase thin film on Si substrate,” Applied
Physics Letters, vol. 66, no. 7, pp. 815–816, 1995.
[224] J. Yan, D.C. Gilmer, S.A. Campbell, W.L. Gladfelter, and P.G. Schmid, “Structural and electrical characterization of TiO2 grown from titanium tetrakis-isopropoxide
(TTIP) and TTIP/H2 O ambients,” Journal of Vacuum Science and Technology B, vol.
14, no. 3, pp. 1706–1711, 1996.
[225] Y. Yokozawa, S. Moriuchi, T. Nunoi, and H. Nakaya, Formation of PhosphorusContaining Titanium Oxide Film, Production of Solar Cell and Device Therefor,
Toshiba Corp., 1996, Japanese Patent No. JP08085874A.
[226] B. He, T. Ma, S.A. Campbell, and W.L. Gladfelter, “A 1.1nm oxide equivalent gate
insulator formed using TiO2 and nitrided silicon,” in IEEE Electron Devices Meeting
’98. 1998, pp. 1038–1040, IEEE.
[227] A.C. Jones, T.J. Leedham, P.J. Wright, M.J. Crosbie, K.A. Fleeting, D.J. Otway,
P. O’Brien, and M.E. Pemble, “Synthesis and characterisation of two novel titanium
isopropoxides stabilised with a chelating alkoxide: Their use in the liquid injection
MOCVD of titanium dioxide thin films,” Journal of Materials Chemistry, vol. 8, no.
8, pp. 1773–1777, 1998.
[228] J-P. Lu and R. Raj, “Ultra-high vacuum chemical vapor deposition and in situ characterization of titanium oxide thin films,” Journal of Materials Research, vol. 6, no.
9, pp. 1913–1918, 1991.
[229] J. Jankuj, “The normal inhomogeneity studies of the refractive index in titanium
dioxide films,” Czech. Journal of Physics B, vol. 36, pp. 855–862, 1986.
[230] G.P. Burns, I.S. Baldwin, M.P. Hastings, and J.G. Wilkes, “The plasma oxidation of
titanium thin films to form dielectric layers,” Journal of Applied Physics, vol. 66, no.
6, pp. 2320–2324, 1989.
[231] K. Balasubramanian, X.F. Han, and K.H. Guenther, “Comparative study of titanium
dioxide thin films produced by electron-beam evaporation and by reactive low-voltage
ion plating,” Applied Optics, vol. 32, no. 28, pp. 5594–5600, 1993.
[232] N.J. Hess, G.J. Exarhos, and M.J. Iedema, “Atomic force microscopy of laserconditioned and laser-damaged amorphous TiO2 sol-gel thin films,” in SPIE, 1992,
vol. 1848, pp. 243–253.
246
BIBLIOGRAPHY
[233] J.L. Keddie, L.J. Norton, E.J. Kramer, and E.P. Giannelis, “Neutron reflectometry
characterization of interface width between sol-gel titanium dioxide and silicon dioxide
thin films,” Journal of American Ceramic Society, vol. 76, no. 10, pp. 2534–2538,
1993.
[234] W.T. Pawlewicz, G.J. Exarhos, and W.E. Conaway, “Structural characterization of
TiO2 optical coatings by raman spectroscopy,” Applied Optics, vol. 22, pp. 1837–1840,
1983.
[235] L-S. Hsu, C-Y. She, and G.J. Exarhos, “Reduction of substrate interference in Raman
spectroscopy of submicron titania coatings,” Applied Optics, vol. 23, no. 18, pp. 3049–
3051, 1984.
[236] D. Wicaksana, A. Kobayashi, and A. Kinbara, “Process effects on structural properties
of TiO2 thin films by reactive sputtering,” Journal of Vacuum Science and Technology
A, vol. 10, no. 4, pp. 1479–1482, 1992.
[237] J.M. Bennett, E. Pelletier, G. Albrand, J.P. Borgogno, B. Lazarides, C.K. Carniglia,
R.A. Schmell, T.H. Allen, T. Tuttle-Hart, K.H. Guenther, and A. Saxer, “Comparison
of the properties of titanium dioxide films prepared by various techniques,” Applied
Optics, vol. 28, no. 15, pp. 3303–3317, 1989.
[238] M.G. Krishna, K.N. Rao, and S. Mohan, “Properties of ion assisted deposited titania
films,” Journal of Applied Physics, vol. 73, no. 1, pp. 434–438, 1993.
[239] L.M. Doeswijk, H.H.C. de Moor, D.H.A. Blank, and H. Rogalla, “Passivating TiO2
coatings for silicon solar cells by pulsed laser deposition,” Applied Physics A, vol. 69,
no. 7, pp. S409–S411, 1999.
[240] M. Ritala, M. Leskel¨a, and E. Rauhala, “Atomic layer epitaxy growth of titanium
dioxide thin films from titanium ethoxide,” Chem. Mater., vol. 6, pp. 556–561, 1994.
[241] Y. Boukennous, B. Benyahia, M.R. Charif, and A. Chikouche, “Antireflection coating
of TiO2 study and deposition by screen printing method,” Journal de Physique III,
vol. 5, pp. 1297–1305, 1995.
[242] M. Lottiaux, C. Boulesteix, G. Nihoul, F. Varner, F. Flory, R. Galindo, and E. Pelletier, “Morphology and structure of TiO2 thin layers vs. thickness and substrate
temperature,” Thin Solid Films, vol. 170, pp. 107–126, 1989.
[243] W.J. DeSisto and R.L. Henry, “Preparation and characterization of MgO thin films
deposited by spray pyrolysis of Mg(2,4-pentanedionate)2 ,” Journal of Crystal Growth,
vol. 109, pp. 314–317, 1991.
[244] J.C. Vigui´e and J. Spitz, “Chemical vapor deposition at low temperatures,” Journal
of the Electrochemical Society, vol. 122, no. 4, pp. 585–588, 1975.
BIBLIOGRAPHY
247
[245] H.L. Berger, Ultrasonic Liquid Atomization - Theory and Application, Partridge Hill
Publishers, Hyde Park, N.Y., 1998.
[246] Sono-Tek Corporation, “Sono-tek corp. website,” Milton, N.Y., U.S.A, 1999.
URL http://www.sono-tek.com
[247] C.B. Honsberg, ,” 1998, Personal communications.
[248] Sono-Tek Corporation, Ultrasonic Atomizing Nozzle Systems: Operating Instructions,
1.1 edition, 1997.
[249] R.J. Lang, “Ultrasonic atomization of liquids,” Journal of the Acoustical Society of
America, vol. 34, no. 1, pp. 6–8, 1962.
[250] DuPont Canada, Inc., “Material safety data sheet: “Tyzor” TPT Titanate,” 1995.
[251] DuPont, Inc., “Technical information: “TYZOR” TPT,” 1998.
URL http://www.dupont.com/tyzor/H79524.pdf
[252] DuPont Australia, Inc., “Technical information: “TYZOR” organic titanates,” 1999.
URL http://www.dupont.com/tyzor/H79500.pdf
[253] B.E. Yoldas, “Preparation of glasses and ceramics from metal-organic compounds,”
Journal of Materials Science, vol. 12, pp. 1203–1208, 1977.
[254] Dalton Electron Co., Inc., “Dalton electric website,” 1998.
URL http://www.daltonelectric.com
[255] Electrovac GmbH, “Oxygen sensor,” 1999.
URL http://www.electrovac.com
[256] D.L. O’Meara, The Effects of Stainless Steel and Water on TEOS, 1992, J.C. Schumacher Technical Article 14.
[257] K. Nakamoto, Infrared and Raman Spectra of Inorganic and Co-Ordination Compounds, Wiley, New York, 4th edition, 1989.
[258] P.R. Griffiths and J.A. de Haseth, Fourier Transform Infrared Spectrometry, Wiley,
New York, 1986.
[259] J.T. Vandeberg, D.G. Anderson, J.K. Duffer, J.M. Julian, R.W. Scott, T.M. Sutliff,
and M.J. Vaickus, An Infrared Spectroscopy Atlas for the Coatings Industry, Federation
of Societies for Coatings Technology, Philadelphia, 1980.
[260] R.A. Nyquist and R.O. Kagel, Infrared Spectra of Inorganic Compounds (3800 −
45 Cm−1 ), Academic Press, New York, 1971.
248
BIBLIOGRAPHY
[261] R.J. Gonzalez, Raman, Infrared, X-Ray, and EELS Studies of Nanophase Titania,
Ph.D. thesis, Faculty of Virginia Polytechnic Institute and State University, 1996.
[262] R.J. Gonzalez and R. Zallen, “Optical studies of nanophase titania,” in Amorphous
Insulators and Semiconductors, M.F. Thorpe and M.I. Mitkova, Eds., pp. 395–403.
Kluwer Academic, The Netherlands, 1997.
[263] F. Gervais and B. Piriou, “Temperature dependence of transverse- and longitudinaloptic modes in TiO2 (rutile),” Physical Review B, vol. 10, no. 4, pp. 1642–1654, 1974.
[264] D.A. Long, Raman Spectroscopy, McGraw-Hill, New York, 1977.
[265] A.G. Gaynor, R.J. Gonzalez, R.M. Davis, and R. Zallen, “Characterization of
nanophase titania particles synthesized using in-situ steric stabilization,” Journal of
Materials Research, vol. 12, no. 7, pp. 1755–1765, 1997.
[266] V.V. Yakovlev, G. Scarel, C.R. Aita, and S. Mochizuki, “Short-range order in ultrathin
titanium dioxide studied by Raman spectroscopy,” Applied Physics Letters, vol. 76,
no. 9, pp. 1107–1109, 2000.
[267] T. Ohsaka, F. Izumi, and Y. Fujiki, “Raman spectrum of anatase, TiO2 ,” Journal of
Raman Spectroscopy, vol. 7, no. 6, pp. 321–324, 1978.
[268] T. Kamada, M. Kitagawa, M. Shibuya, and T. Hirao, “Structure and properties of
silicon titanium oxide films prepared by plasma-enhanced chemical vapour deposition
method,” Japanese Journal of Applied Physics, vol. 30, pp. 3594–3596, 1991.
[269] D. Briggs and M.P. Seah, Practical Surface Analysis, Wiley, New York, 1983.
[270] D.K. Schroder, Semiconductor Material and Device Characterization, Wiley, New
York, 1998.
[271] M.B.H. Breese, D.N. Jamieson, and P.J.C. King, Materials Analysis Using a Nuclear
Microprobe, Wiley, New York, 1996.
[272] L.R. Doolittle, “Algorithms for the rapid simulation of Rutherford backscattering
spectra,” Nuclear Instrumentation Methods in Physics Research, vol. B9, no. 3, pp.
344–351, 1985.
[273] D.N. Jamieson, ,” 2001, Personal communications (University of Melbourne).
[274] Y. Leprince-Wang, K. Yu-Zhang, V. Nguyen Van, D. Souche, and J. Rivory, “Correlation between microstructure and the optical properties of TiO2 thin films prepared
on different substrates,” Thin Solid Films, vol. 307, pp. 38–42, 1997.
[275] D. Mardare and A. Stancu, “On the optical constants of TiO2 thin films. ellipsometric
studies.,” Materials Research Bulletin, vol. 35, pp. 2017–2025, 2000.
BIBLIOGRAPHY
249
[276] D.P. Arndt, R.M.A. Azzam, J.M. Bennett, J.P. Borgogno, C.K. Carniglia, W.E. Case,
J.A. Dobrowolski, U.J. Gibson, T. Tuttle Hart, F.C. Ho, V.A. Hodgkin, W.P. Klapp,
H.A. Macleod, E. Pelletier, M.K. Purvis, D.M. Quinn, D.H. Strome, R. Swenson, P.A.
Temple, and T.F. Thonn, “Multiple determination of the optical constants of thin-film
coating materials,” Applied Optics, vol. 23 (20), pp. 3571–3596, 1984.
[277] J. Roger and P. Colardelle, “Antireflecting silicon solar cells with titanium dioxyde,”
in 8th IEEE Photovoltaics Specialists Conference. 1970, pp. 84–87, IEEE.
[278] C.R. Ottermann, R. Kuschnereit, O. Anderson, P. Hess, and K. Bange, “Young’s
modulus and density of thin TiO2 films produced by different methods,” Materials
Research Society Symposium Proceedings, vol. 436, pp. 251–256, 1997.
[279] H.A. Macleod, Thin-Film Optical Filters, Adam Hilger Ltd, Bristol, 1986.
[280] O. Stenzel, Das D¨
unnschicchtspektrum, Akademie Verlag, Berlin, 1996.
[281] S.R. Wenham, Laser Grooved Silicon Solar Cells, Ph.D. thesis, The University of New
South Wales, 1986.
[282] D. E. Kane and R.M. Swanson, “Measurement of the emitter saturation current
by a contactless photoconductivity method,” in 18th IEEE Photovoltaics Specialists
Conference, N.J., 1985, pp. 578–583, IEEE.
[283] J. Zhao, A. Wang, and M.A. Green, “Double layer antireflection coating for highefficiency passivated emitter silicon solar cells,” IEEE Transactions on Electron Devices, vol. 41, no. 9, pp. 1592–1594, 1994.
[284] K. Graff, Metal Impurities in Silicon-Device Fabrication, Springer-Verlag, Berlin,
1995.
[285] J.R. Davis, A. Rohatgi, R.H. Hopkins, P.D. Blais, P. Rai-Choudhury, J.R. McCormick,
and H.C. Mollenkopf, “Impurities in silicon solar cells,” IEEE Transactions on Electron
Devices, vol. ED-27, no. 4, pp. 677–687, 1980.
[286] A. Rohatgi, R.B. Campbell, J.R. Davis, R.H. Hopkins, P. Rai-Choudhury, H. Mollenkopf, and J.R. McCormick, “POCl3 gettering of titanium, molybdenum and ironcontaminated silicon solar cells,” in 14th IEEE Photovoltaic Specialists Conference,
San Diego. 1980, pp. 908–911, IEEE.
[287] A. Rohatgi, J.R. Davis, R.H. Hopkins, P. Rai-Chowdhury, P.G. McMullin, and J.R.
McCormick, “Effect of titanium, copper and iron on silicon solar cells,” Solid-State
Electronics, vol. 23, pp. 415–422, 1980.
[288] R.C. DeVries, R. Roy, and E.F. Osborn, “The system TiO2 −SiO2 ,” Transactions of
the British Ceramic Society, vol. 53, pp. 525–540, 1954.
250
BIBLIOGRAPHY
[289] V.F. Plekhotkin, “Standard thermodynamic functions for oxides of some group V
elements,” Journal of Applied Chemistry (USSR), vol. 41, no. 10, pp. 2218–2220,
1968.
[290] R. Glaum and R. Gruehn, “Zum chemischen Transport von Phosphaten des drei- und
vierwertigen Titans,” Zeitschrift anorg. allg. Chem., vol. 580, pp. 78–94, 1990.
[291] D.R. Lide, Ed., CRC Handbook of Physics and Chemistry, CRC Press, Boca Raton,
U.S.A., 76 edition, 1995-1996.
[292] M. Binnewies and E. Milke, Thermochemical Data of Elements and Compounds, WileyVCH, Weinheim, 1999.
[293] L.A. Zhagata and I.A. Feltyn, “Titanium containing SiO2 films,” Inorganic Materials,
vol. 14, no. 6, pp. 868–870, 1978.
[294] P.M. Kumar, S. Badrinarayanan, and M. Sastry, “Nanocrystalline TiO2 studied by
optical, FTIR and X-ray photoelectron spectroscopy: Correlation to presence of surface
states,” Thin Solid Films, vol. 358, pp. 122–130, 2000.
[295] D.S. Ruby, W.L. Wilbanks, and C.B. Fleddermann, “A statistical analysis of the effect
of PECVD deposition parameters on surface and bulk recombination in silicon solar
cells,” in 1st World Conference on Photovoltaic Energy Conversion, N.J., 1994, pp.
1335–1338, IEEE.
[296] J.D. Moschner, P. Doshi, D.S. Ruby, T. Lauinger, A.G. Aberle, and A. Rohatgi, “Comparison of front and back surface passivation schemes for silicon solar cells,” in 2nd
World Conference and Exhibition on Photovoltaic Solar Energy Conversion, Ispra,
Italy, 1998, pp. 1894–1897, European Commission.
[297] G. Crotty, T. Daud, and R. Kachare, “Front surface passivation of silicon solar cells
with antireflection coating,” Journal of Applied Physics, vol. 61, no. 8, pp. 3077–3079,
1987.
[298] C.Z. Zhou, P.J. Verlinden, R A. Crane, R.M. Swanson, and R.A. Sinton, “21.9 in 26th
IEEE Photovoltaics Specialists Conference. 1997, pp. 287–290, IEEE.
[299] S. Tanaka, S. Okamoto, K. Nakajima, N. Shibuya, K. Okamoto, T. Nammori, T. Nunoi,
and T. Tsuji, “Passivation effect improvement of Si solar cells by reducing contact
area,” in PVSEC-5, ?, 1990, pp. 315–318, ?
[300] R.M. Swanson, P.J. Verlinden, and R.A. Sinton, Method of Making a Solar Cell Having
Improved Anti-Reflection Passivation Layer, 1999, U.S. patent no. 5,907,766.
BIBLIOGRAPHY
251
[301] M. Cudzinovic, T. Pass, A. Terao, P.J. Verlinden, and R.M. Swanson, “Degradation
of surface quality due to anti-reflection coating deposition on silicon solar cells,” in
28th IEEE Photovoltaic Specialists Conference. 2000, pp. 295–298, IEEE.
[302] D.C. Gilmer, D.G. Colombo, C.J. Taylor, J. Roberts, G. Haugstad, S.A. Campbell,
H.-S. Kim, G.D. Wilk, M.A. Gribelyuk, and W.L. Gladfelter, “Low temperature CVD
of crystalline titanium dioxide films using tetranitratotitanium (IV),” Chemical Vapor
Deposition, vol. 4, no. 1, pp. 9–11, 1998.
[303] C.J. Taylor, D.C. Gilmer, D.G. Colombo, G.D. Wilk, S.A. Campbell, J. Roberts, and
W.L. Gladfelter, “Does chemistry really matter in the chemical vapor deposition of
titanium dioxide? precursor and kinetic effects on the microstructure of polycrystalline
films,” Journal of the American Ceramic Society, vol. 121, pp. 5220–5229, 1999.
[304] G. Betz and G.K. Wehner, “Sputtering by particle bombardment II,” in Topics in
Applied Physics, R. Behrisch, Ed., vol. 52, p. 11. Springer-Verlag, Berlin, 1983.
[305] M. Wittmer, “Barrier layers: Principles and applications in microelectronics,” Journal
of Vacuum Science and Technology A, vol. 2, no. 2, pp. 273–280, 1984.
[306] M.-A. Nicolet, “Diffusion barriers in thin films,” Thin Solid Films, vol. 52, pp. 415–443,
1978.
[307] S.P. Murarka, “Diffusion barriers - for thin film metallizations,” Diffusion and Defect
Data, Solid State Data Part A, vol. 59, pp. 99–110, 1988.
[308] J.M. Drynan, H. Hada, and T. Kunio, “Interactions between metallization or diffusion
layers and doped silicon plugs in deep-submicron contact holes,” Materials Research
Society Symposium Proceedings, vol. 260, pp. 323–328, 1992.
[309] C.S. Hwang and H.J. Kim, “Pb-diffusion barrier layers for PbTiO3 thin films deposited
on Si substrates by metal organic chemical vapor deposition,” Journal of the American
Ceramic Society, vol. 78, no. 2, pp. 337–341, 1995.
[310] A.C. Greenwald, E.A. Johnson, J.S. Wollam, A.J. Gale, and N.K. Jaggi, “Interaction
of high temperature superconductors with conductive oxides,” in Proc. Of the Conf.
On the Science and Technology of Thin Film Superconductors, R.D. McConell and S.A.
Wolf, Eds., New York, 1988, pp. 193–198, Plenum Press.
[311] Z. Chen, J. Xia, J. Luo, and W. Shen, “Properties of the Al/Mo/Ti/PtSi/Si metallization system,” Chinese Physics, vol. 8, no. 4, pp. 1097–1101, 1988.
[312] T.G. Cooney, D.E. Glumac, W.P. Robbins, and L.F. Francis, “An experimental examination of MEMS microactuator material issues,” Materials Research Symposium
Proceedings, vol. 360, pp. 401–406, 1995.
252
BIBLIOGRAPHY
[313] C.-K. Lee, C.-D. Hsieh, and B.-H. Tseng, “Effects of titanium interlayer on the formation of platinum silicides,” Thin Solid Films, vol. 303, pp. 232–237, 1997.
[314] M. Spiegel, H. Nussbaumer, M. Roy, F. Ferrazza, S. Narayanan, P. Fath, G. Willeke,
and E. Bucher, “Successful implementation of the microwave induced remote hydrogen
plasma passivation in a standard multicrystalline silicon solar cell production line,” in
2nd World Conference on Photovoltaic Energy Conversion, Ispra, Italy, 1998, pp. 1543–
1546, European Commission.
[315] Schumacher (U.S.A.), “Schumacher application note on phosphorus oxychloride,” .
[316] R. Pretorius, T.K. Marais, and C.C. Theron, “Thin film compound phase formation
sequence: An effective heat of formation model,” Materials Science and Engineering,
vol. R10, pp. 1–85, 1993.
[317] S. Ekambaram and S.C. Sevov, “Organically templated mixed-valent TiIII /TiIV phosphate with an octahedral- tetrahedral open framework,” Angewandte Chemie International Edition, vol. 38, no. 3, pp. 372–375, 1999.
[318] W.H. Zachariasen, “The atomic arrangement in glass,” Journal of the American
Chemical Society, vol. 54, pp. 3841–3851, 1932.
[319] E.M. Levin and H.F. McMurdie, Phase Diagrams for Ceramists: 1975 Supplement,
vol. XI, Comp. at the National Bureau of Standards, 1975, p.178.
[320] N. Toyokura and M. Taguchi, Semiconductor Device, Fujitsu Limited, 1982, European
Patent Application EP0055558A2.
[321] Y. Yokozawa and S. Moriuchi, Solar Cell and Fabrication Thereof, Toshiba Corp.,
1998, Japanese Patent No. JP10070296A.
[322] K. Ui, H. Nakaya, and T. Noru, P-N Junction and Method for Forming Reaction
Product, Toshiba Corp., 1999, Japanese Patent No. JP11340486A.
[323] B.E. Yoldas and L.A. Yoldas, Diffusion of Dopant from Optical Coating and Single
Step Formation of Pn Junction in Silicon Solar Cell and Coating Thereon, 1999, U.S.
patent no. 4,251,285.
[324] J. Michel and B.G. Martin, “An improved silicon solar-cell processing,” in 15th IEEE
Photovoltaic Specialists Conference. 1981, pp. 450–454, IEEE.
[325] F. La Via, V. Privitera, and E. Rimini, “Rapid thermal processing reliability of titanium silicide implanted with arsenic, boron and phosphorus,” Applied Surface Science,
vol. 53, pp. 377–382, 1991.
BIBLIOGRAPHY
253
[326] P. Gas, V. Deline, F.M. d’Heurle, A. Michel, and G. Scilla, “Boron, phosphorus, and
arsenic diffusion in TiSi2 ,” Journal of Applied Physics, vol. 60, no. 5, pp. 1634–1639,
1986.
[327] J. Michel and B.G. Martin, “A new diffusion process for silicon solar cells,” in 2nd
European Photovoltaic Solar Energy Conference, 1981, pp. 450–454.
[328] S. Ferdjani, D. David, and G. Beranger, “Anodic oxidation of titanium in phosphoric
acid baths: Phosphorus incorporation into the oxide,” Journal of Alloys and Compounds, vol. 200, pp. 191–194, 1993.
[329] D.S. Ruby, P. Yang, S. Zaidi, S. Brueck, M. Roy, and S. Narayanan, “Improved
performance of self-aligned, selective-emitter silicon solar cells,” in 2nd World Conference on Photovoltaic Energy Conversion, Ispra, Italy, 1998, pp. 1460–1463, European
Commission.
[330] M. Schnell, R. L¨
udemann, and S. Schaefer, “Plasma surface texturisation for multicrystalline silicon solar cells,” in 28th IEEE Photovoltaic Specialists Conference, N.J., 2000,
pp. 367–370, IEEE.
[331] B.M. Damiani, R. L¨
udemann, D.S. Ruby, S.H. Zaidi, and A. Rohatgi, “Development
of RIE-textured silicon solar cells,” in 28th IEEE Photovoltaic Specialists Conference,
N.J., 2000, pp. 371–374, IEEE.
[332] J.C. Zolper, S. Narayanan, S.R. Wenham, and M.A. Green, “16.7% efficient, laser
textured, buried contact polycrystalline silicon solar cell,” Applied Physics Letters,
vol. 55, no. 22, pp. 2363–2365, 1989.
[333] C. Zechner, G.Hahn, W. Jooss, M. Wibral, B. Bitnar, S. Keller, M. Spiegel, P. Fath,
G. Willeke, and E. Bucher, “Systematic study towards high efficiency multicrystalline
silicon solar cells with mechanical surface texturization,” in 26th IEEE Photovoltaic
Specialists Conference, N.J., 1997, pp. 243–246, IEEE.
[334] R. Hezel, Ch. Schmiga, and A. Metz, “Next generation of industrial silicon solar cells
with efficiencies above 20in 28th IEEE Photovoltaic Specialists Conference, N.J., 2000,
pp. 184–187, IEEE.
[335] R. Einhaus, E. Vazsonyi, J. Szlufcik, J. Nijs, and R. Mertens, “Isotropic texturing
of multicrystalline silicon wafers with acidic texturing solutions,” in 14th European
Conference on Photovoltaics and Solar Energy Conversion, Bedford, U.K., 1994, pp.
146–149, H.S. Stephens & Assoc.
[336] R.R. Bilyalov, L. Stalmans, L. Schirone, and C. Levy-Clement, “Use of porous silicon
antireflection coating in multicrystalline silicon solar cell processing,” IEEE Transactions on Electron Devices, vol. 46, no. 10, pp. 2035–2040, 1999.
254
BIBLIOGRAPHY
[337] R.R. Bilyalov, R. L¨
udemann, W. Wettling, L. Stalmans, J. Poortmans, J. Nijs, L. Schirone, G. Sotgiu, S. Strehlke, and C. L`evy-Cl`ement, “Multicrystalline silicon solar cells
with porous silicon emitter,” Solar Energy Materials and Solar Cells, vol. 60, pp.
391–420, 2000.
[338] J. Zhao and M.A. Green, “Optimized antireflection coatings for high-efficiency silicon
solar cells,” IEEE Transactions on Electron Devices, vol. 38, no. 8, pp. 1925–1934,
1991.
[339] P. Doshi, G.E. Jellison, and A. Rohatgi, “Characterization and optimization of absorbing plasma-enhanced chemical vapor deposited antireflection coatings for silicon
photovoltaics,” Applied Optics, vol. 36, no. 30, pp. 7826–7837, 1997.
[340] S. Winderbaum, F. Yun, and O. Reinhold, “Application of plasma enhanced chemical
vapor deposition silicon nitride as a double layer antireflection coating and passivation
layer for polysilicon solar cells,” Journal of Vacuum Science and Technology A, vol.
15, no. 3, pp. 1020–1025, 1997.
[341] Software Spectra Inc., TFCalc Commercial Software Manual v.3.2.13, 1998, OR,
U.S.A.
[342] R.B. Pettit, C.J. Brinker, and C.S. Ashley, “Sol-gel double-layer antireflection coatings
for silicon solar cells,” Solar Cells, vol. 15, pp. 267–278, 1985.
[343] R.B. Pettit and C.J. Brinker, “Use of sol-gel thin films in solar energy applications,”
Solar Cells, vol. ??, pp. 269–287, 1986.
[344] G.E. Jellison and R.F. Wood, “Antireflection coatings for planar silicon solar cells,”
Solar Cells, vol. 18, pp. 93–114, 1986.
[345] G.A. Swartz, L.S. Napoli, and N. Klein, “Silicon solar cells for use at high solar
concentration,” in Tech. Dig. - Int. Electron Devices Meet., 1977, pp. 226–228.
[346] B.E. Yoldas, ?, 1982, U.S. patent no.’s 4,361,498 and 4,346,131.
[347] D. Bouhafs, A. Moussi, A. Chikouche, and J.M. Ruiz, “Design and simulation of
antireflection coating systems for optoelectronic devices: Application to silicon solar
cells,” Solar Energy Materials & Solar Cells, vol. 52, pp. 79–93, 1998.
[348] M.B. Spitzer and C.J. Keavney, Annual Report for Research on Basic Understanding of
High Efficiency Silicon Solar Cells to SERI, Spire Corporation, Bedford, MA, U.S.A.,
1985.
[349] C.C. Johnson, T. Wydeven, and K. Donohoe, “Plasma-enhanced CVD silicon nitride
antireflection coatings for solar cells,” Solar Energy, vol. 31, no. 4, pp. 355–358, 1983.
BIBLIOGRAPHY
255
[350] J.H. Wohlgemuth, S. Narayanan, and R. Brenneman, “Cost effectiveness of high
efficiency cell processes as applied to cast polycrystalline silicon,” in 18th IEEE Photovoltaics Specialists Conference. 1990, pp. 221–226, IEEE.
[351] D.J. Aiken, “High performance anti-reflection coatings for broadband multi-junction
cells,” Solar Energy Materials & Solar Cells, vol. 64, pp. 393–404, 2000.
[352] J.M. Gee, H.L. Tardy, T.D. Hund, R. Gordon, and H. Liang, “Reflectance control for
multicrystalline-silicon photovoltaic modules using textured-dielectric coatings,” in
1st World Conference on Photovoltaic Energy Conversion, N.J., 1994, pp. 1274–1277,
IEEE.
[353] G.P. de Larivi´ere, J.M. Frigerio, J. Rivory, and F. Abel´es, “Estimate of the degree of
inhomogeneity of the refractive index of dielectric films from spectroscopic ellipsometry,” Applied Optics, vol. 31, no. 28, pp. 6056–6061, 1992.
[354] Y. Ohya, J. Mishina, T. Matsude, T. Ban, and Y. Takahashi, “Crystallization and
microstructure development of sol-gel-derived titanium dioxide thin films with single
and multiple layers,” Journal of the American Ceramic Society, vol. 82, no. 10, pp.
2601–2606, 1999.
[355] M. Stech, P. Reynders, and J¨
urgen R¨odel, “Constrained film sintering of nanocrystalline TiO2 ,” Journal of the American Ceramic Society, vol. 83, no. 8, pp. 1889–1896,
2000.
[356] J.L. Keddie, P.V. Braun, and E.P. Giannelis, “Interrelationship between densification, crystallization, and chemical evolution in sol-gel titania thin films,” Journal of
American Ceramic Society, vol. 77, no. 6, pp. 1592–1596, 1994.
[357] M. Fahr, “Investigation of the effects of co-gettering on multicrystalline silicon,” Undergraduate thesis, University of New South Wales, 2000.
[358] W. Jooss, G. Hahn, P. Fath, G. Willeke, and E. Bucher, “Improvement of diffusion
lengths in multicrystalline Si by P-Al gettering during solar cell processing,” in 2nd
World Conference on Photovoltaic Energy Conversion, Ispra, Italy, 1998, p. 1689,
European Commission.