H2012 Teiknemaskin

Prosjektoppgåve EL2-203 Elektronikk og datamaskiner
Hausten 2012
Teiknemaskin
Thomas Bondehagen og Erlend Ese
Rapport levert: 27.11.12
Prosjektarbeid
EL2-203 Elektronikk og datamaskiner
Teiknemaskin
Føreord
Hausten 2012 har me hatt prosjekt i faget EL2-203 Elektronikk og datamaskiner, der me bestemte oss
for å lage ei automatisk teiknemaskin av ein traverskranmodell me fann på skulen. Me hadde eit
budsjett på 1000 kr. Grunnlaget for prosjektet har me fått gjennom yrkesfagutdanning på
vidaregåande nivå, samt emna me har hatt på høgskulen fram til no.
Utan god hjelp frå lærarar hadde me ikkje fått til eit så bra resultat, me vil derfor takke lærarane våre
Marcin Fojcik og Bjarte Pollen for entusiastisk rettleiing under prosjektarbeidet. Me vil også takke
Elektroskandia og Würth for sponsing av noko materiell.
HiSF, Førde 27.11.12
____________________
Thomas Bondehagen
____________________
Erlend Ese
Side 2 av 18
Prosjektarbeid
EL2-203 Elektronikk og datamaskiner
Teiknemaskin
Samandrag
Føremålet med prosjektet i 3. semester er å gi studentane innsyn i praktisk elektronikkprogrammering og dei praktiske problema som kan oppstå. Ei prosjektoppgåve er lagt opp til at
studentane i størst mogleg grad sjølv skal finne problem og løysingar. Studentane skal lage ei
permanent oppkopling.
Målgruppa for rapporten er lærarar og studentar innanfor relevant fagfelt.
Me ville ikkje bruke tid på å bygge ein modell. Me valde derfor å fjerne styringa på ei modellkran me
fann på skulen. Ved hjelp av målingar, simulering og testing kom me fram til eit utgangspunkt me
kunne arbeide med. På eit seinare tidspunkt fekk me dokumentasjonen til kranen.
Resultatet av oppgåva er ei kran som kan programmerast fritt, og den skal enkelt kunne
programmerast av andre som er kjent med Verilog og Quartus-programmering.
Me føler me har fått god erfaring innan elektronikk, programmering, dokumentering og feilsøking
etter dette prosjektet.
Me meiner at føremålet med prosjektet er nådd.
Side 3 av 18
Prosjektarbeid
EL2-203 Elektronikk og datamaskiner
Teiknemaskin
Innhald
Føreord .................................................................................................................................................... 2
Samandrag............................................................................................................................................... 3
Innledning ................................................................................................................................................ 5
1 Problemstilling ...................................................................................................................................... 6
1.1 Prosjektets hovuddelar ................................................................................................................. 6
1.2 Planlegging og dokumentering ...................................................................................................... 6
2 Hovuddel .............................................................................................................................................. 7
2.1 Kartlegging og ferdigstilling av modell .......................................................................................... 7
2.1.1 Funksjonsbeskriving av modell-traverskran ........................................................................... 7
2.1.2 Ferdigstilling av modell........................................................................................................... 7
2.1.3 Målingar.................................................................................................................................. 7
2.2 Elektronikk og grensesnitt ............................................................................................................. 7
2.2.1 Elektronikk .............................................................................................................................. 7
2.2.2 Input og output signal frå Altera ............................................................................................ 8
2.3 Programmering.............................................................................................................................. 9
2.3.1 Beskrivelse av program .......................................................................................................... 9
2.3.2 Beskriving av komponenter i Quartus .................................................................................... 9
2.4 Problem og løsninger .................................................................................................................. 12
2.4.1 Optokobleren ....................................................................................................................... 12
2.4.2 Transistortrinn ...................................................................................................................... 13
2.4.3 Støy og prell .......................................................................................................................... 14
2.5 Framdriftsplan og timebruk ........................................................................................................ 15
2.6 Budsjett og komponentliste ........................................................................................................ 16
2.6.1 Budsjett ................................................................................................................................ 16
2.6.2 Komponentliste og regnskap................................................................................................ 16
3 Konklusjon .......................................................................................................................................... 17
4 Referanseliste ..................................................................................................................................... 18
4.1 Kjelder.......................................................................................................................................... 18
4.2 Figurar.......................................................................................................................................... 18
4.3 Tabeller ........................................................................................................................................ 18
Vedlegg .................................................................................................................................................. 18
Side 4 av 18
Prosjektarbeid
EL2-203 Elektronikk og datamaskiner
Teiknemaskin
Innledning
Prosjektoppgaven i faget EL2-203 Elektronikk og datamaskiner inngår som en obligatorisk del.
Prosjektet utføres i tredje semester for automasjons studenter ved HiSF. I denne oppgaven skal
studentene bruke kunnskap de har fått gjennom undervisning til å løse praktiske oppgaver, og
på den måten få en god forståelse av hvordan programmering og elektronikk kan bli brukt
sammen i praksis. Gruppen står fritt til å velge hva de vil gjennomføre, så lenge det oppfyller
kravene innenfor prosjektrammen.
Etter at prosjektgruppen har blitt enig om hva oppgaven skal dreie seg om, skal det lages et
forprosjekt som skal leveres til faglærere til godkjenning og vurdering. Dette må skje før
prosjektet kan påbegynnes. Forprosjektet inneholder en bekrivelse av hva som skal utføres,
timebruken, framdriftsplan og hvem som er med i gruppen.
I dette prosjektet har vi valgt å lage en tegnemaskin. Den skal styres ved hjelp av et Altera DE2kort hvor programmering fra Quartus Web Edition og Verilog koder er brent inn.
Kranen skal lage en tegning som er forhåndsprogrammert. Vi legger et papir i bunnen på
modellen, og maskinen tegner automatisk ved hjelp av x og y navigering. Vi har også sett på
muligheten for å bytte farger på tegningen, men tiden strakk ikke til.
Rammen for prosjektet er stipulert til ca. 20-40 timer per student.
I oppgava er det brukt en del fagord og fremmedord, og disse er forklart i tabell 1 under.
Tabell 1: Fremmedord
Ord
Thandar
Pull-down / Pull-up
Mikrokontroller
Quartus
Verilog
Altera DE2
Optokobler
Polaritet
Jord
Forklaring
Justerbar spenningskilde 0-30V
Motstand i kilo-ohm området koblet til jord
eller VCC for å forhindre «flytende» signal.
En programmerbar logisk styring som
benyttes i elektroniske kontroll- og
målesystemer.
Programmet som blir brukt til å programmere
Altera DE2 kortet.
Programmeringsspråk som blir brukt til å
programmere komponent eller blokker i
Quartus.
Vår programmerbare logiske styring, type
Cyclone II FPGA, mikrokontroller for
utdanningsformål.
Elektrisk komponent som består av en LED og
en fototransistor. Når fototransistoren belyses
blir den ledende, og gir ut en strøm.
Positiv eller negativ elektrisk ladning i en gitt
stilling blir referert til som polaritet.
Null-volt referanse.
Side 5 av 18
Prosjektarbeid
EL2-203 Elektronikk og datamaskiner
Teiknemaskin
1 Problemstilling
Som prosjektoppgåve i EL2-203 Elektronikk og datamaskiner har me valt å automatisere ein
traverskranmodell som me fann på skulen. Me har valt å løyse dette ved bruk av eit Altera DE2 kort,
som er ein mikrokontroller. For å kunne bruke Altera til å styre modellen lyt me lage eit grensesnitt i
form av analoge komponent som relé, transistorar og motstandar. Når me er ferdige med
grensesnittet skal det være store moglegheiter for utviding av funksjonen gjennom programmering i
Quartus. Me har tenkt å programmere modellen til å kunne teikne forskjellige former, og dersom me
får tid, bytte mellom forskjellige fargar.
1.1 Prosjektets hovuddelar
Me har delt opp prosjektet i tre hovuddelar.
1. Få ferdigstilt modellen og finne ut korleis den fungerer.
2. Få laga grensesnittet mellom modellen, der me nyttar komponent som gir verdiar som
mikrokontrolleren fungerer med.
3. Få programmert kortet etter vårt ønske.
1.2 Planlegging og dokumentering
Me har gjort oss flid i planlegginga og dokumenteringa. Før me starta med loddinga kopla me opp
kretsane på brett for å forsikre oss om at ting fungerte som planlagt. Når dette var gjort teikna me
dei inn på skjema. Desse teikningane gjorde at me enkelt kunne sjå på skjema medan me lodda, og
dermed var det enkelt å unngå slurvefeil. Me har generelt hatt lite feil på arbeidet vårt og dette er på
grunn av god planlegging og dokumentering.
Sjå vedlegg 2 for skjema over kretskortet.
Side 6 av 18
Prosjektarbeid
EL2-203 Elektronikk og datamaskiner
Teiknemaskin
2 Hovuddel
2.1 Kartlegging og ferdigstilling av modell
2.1.1 Funksjonsbeskriving av modell-traverskran
Kranen består i hovudsak av tre modular: Travers, katt og vinsj. På vinsjen er det ein elektromagnet.
På kvar modul er det ein 12V motor, desse styrer traversen i +/- X-retning, katten i +/-Y-retning og
vinsjen i +/-Z-retning. Til saman utgjer dette 7 outputs frå Altera. På kvar modul er det 3 stk.
optokoplarar som sender ut IR-lys og dersom den vert reflektert sender den ut ein straum. To av
desse sensorane er for kvar sin endestopp, minimum, maksimum, og ein «teljar». Desse fungerer
saman med ein aluminiumsteip som ligg fast på ramma og reflekterer IR-lyset. På endene av teipen
er det eit større blankt område, medan det er svarte strekar i midten. Dette gjer at det kjem tre
elektriske straumar ut frå kvar av modulane: maksimum- og minimum posisjon, og ein teljepuls for
retninga.
2.1.2 Ferdigstilling av modell
Først måtte me ferdigstille modellen. Det var behov for å modifisere den litt slik at den var klar til vår
bruk. Det var brukt o-ringar som drivverk på alle motorane, desse var morkne og måtte bytast. Me
måtte òg legge til noko for å hindre katten i å kjøre over kanten når den kjørte bakover. Dette var
tidlegare løyst med skumgummi, men me bytta dette ut med plastklossar. Kablane frå kvar modul
har RJ-45 pluggar, me utnytta dette ved å montere 2 stk. doble cat5 kontaktar på ei plate som me
monterte der styringa stod tidlegare. Me terminerte cat5 kablar i desse kontaktane som me førte ut
gjennom eit hol i plata.
2.1.3 Målingar
Før me kunne lage kretskort måtte me finne ut kva verdiar me hadde å arbeide med. Me måtte
derfor utføre nokre målingar på kva signal me hadde ut frå kvar av sensorane. Me målte 0,006mA når
endestoppane var inaktive, og ca. 0,4mA når dei var i stopp-posisjon. På teljarane var straumane litt
mindre varierande. Minste verdi me målte var 0,080mA, høgste var 0,330mA.
2.2 Elektronikk og grensesnitt
For å kunne kommunisere mellom modellen og Altera var me nøydd å lage eit kretskort som
behandla signala.
2.2.1 Elektronikk
Endestoppane sender ut ein straum på 0,4mA når dei er høg. Dette fekk me inn på Altera ved å kople
til eit transistortrinn til signalet frå modellen. Meir detaljar om dette står under 2.4.1. figur […]
På teljarane brukte me ein operasjonsforsterkar sidan eit relé hadde reagert for seint i forhold til
hastigheita til krana. Operasjonsforsterkaren gir ut 5V når sensorane er aktive og 0V når den er
inaktiv. For å styre motorane frå Altera valde me å bruke eit relé til kvar retning motorane skulle gå.
For å snu retning bytta me berre om polariteten.
Side 7 av 18
Prosjektarbeid
EL2-203 Elektronikk og datamaskiner
Teiknemaskin
2.2.2 Input og output signal frå Altera
På Altera DE2 brukar me 5V som input frå kretskortet. Dette passa bra sidan me har laga kretskortet
til 5V og 12V. Vedlegg 3 syner oversikten for inngangar og utgangar.
Figur 1: Prinsippskisse
Figur 1 syner korleis kranen kommuniserer med Altera via vårt grensesnitt. Frå kranen kjem det
straumar som ikkje passer i lag med Altera, desse gjer kretskortet vårt om til 5V inputs som
mikrokontrolleren fint kan behandle. Frå Altera er det ikkje store nok straumar til å drive motorane
på kranen, desse spenningane vert forsterka via transistorar og mata inn på relé som styrer
hovudspenninga inn på motorane til kranen.
Side 8 av 18
Prosjektarbeid
EL2-203 Elektronikk og datamaskiner
Teiknemaskin
2.3 Programmering
2.3.1 Beskrivelse av program
Programmet er sammensatt av flere blokker i Quartus. Vi har brukt logikk som er implementert i
Quartus til å kunne styre kranen manuelt med knappene som er på kortet, og vi har programmert
automatikken i Verilog blokker vi har utviklet selv. Vedlegg 4 viser programmet i sin helhet.
2.3.2 Beskriving av komponenter i Quartus
Ikke-port
NOT
Ikke-porten inverterer signalet den får inn på inngangen.
inst16
Figur 2: NOT
OG-port
inst39
OG-porten gir et høy signal på utgangen når begge inngangene er høy. Ellers
gir den et lav signal ut.
AND2
Figur 3: AND
Eller port
OR2
Eller porten gir et høy signal på utgangen når et av signalene på inngangen er
høy.
inst54
Figur 4: OR
Side 9 av 18
Prosjektarbeid
EL2-203 Elektronikk og datamaskiner
Teiknemaskin
Rektangel-Blokk
Rektangel blokken har vi programmert selv i Verilog.
muperektangel
clock
x_min
x_max
y_min
y_max
z_min
z_max
puls_x
puls_y
puls_z
knapp_2
Knapp 2 starter funksjonen. Den returnerer kranen til
startposisjonen først. Etter det går kranen til et bestemt punkt,
tegner et rektangel, og stopper.
motor_x_vens
motor_x_hogr
motor_y_fram
motor_y_bak
motor_z_opp
motor_z_ned
dispX[7..0]
dispY[7..0]
dispZ[7..0]
For nærmere detaljer, se vedlegg 5 for algoritme for blokken og
vedlegg 6 for selve koden.
inst12
Figur 5: Rektangel
Startposisjon-Blokk
Startpos-blokken har vi programmert selv i Verilog.
mu
p startpos
clock
x_min
y_min
z_max
knapp_3
inst26
Knapp 3 starter funksjonen. Den returnerer kranen til
startposisjonen, som vi har satt til x min, y min og z maks.
motor_x_vens
motor_y_bak
motor_z_opp
Figur 6: Startpos
Hente-Blokk
mu
p
hentetjommi
clock
x_max
y_max
z_min
z_max
puls_z
knapp_1
motor_x_hogr
motor_y_fram
motor_z_opp
motor_z_ned
elektromagnet
inst45
Hente-blokken har vi programmert selv i Verilog.
Knapp 1 starter funksjonen. Denne kjører kranen til ytterposisjon på x- og y-aksen og senker vinsjen til en gitt z posisjon.
Når posisjonen er oppnådd skrur programmet på
elektromagneten, og hever vinsjen til en ny z posisjon slik at
blyantspissen er over holderen.
Figur 7: Hentefunksjon
Side 10 av 18
Prosjektarbeid
EL2-203 Elektronikk og datamaskiner
Teiknemaskin
Filter-Blokk
Filteret har vi programmert selv i Verilog.
filter
Den leser verdier inn og sender disse videre til et register. Dersom alle disse
verdiene er høy, vil blokken gi ut et høy signal. Dersom noen av registrene ikke
er høy, vil blokken sende ut et lav signal. Dette filteret har vi brukt på alle
inputene fra modellen til Altera-kortet.
in
out
clock
inst18
Figur 8: Filter
Delay-Blokk
Delay har vi programmert selv i Verilog.
delay
clockin
clockout
inst19
Blokken reduserer klokkepulsen som er innebygget på Altera-kortet fra
50Mhz til 50 Hz. Dette fører til at den leser verdiene med lavere
frekvens, og bidrar til at programmet plukker opp mindre støy fra
inngangene.
Figur 9: Delay
DelayTeller-Blokk
DelayTeller har vi programmert selv i Verilog.
delayTeller
clockin
clockout
Blokken gjør det samme som blokken over, men denne er tilpasset
tellerne som trenger høyere frekvens enn endestoppene, 25kHz
inst25
Figur 10: DelayTeller
Side 11 av 18
Prosjektarbeid
EL2-203 Elektronikk og datamaskiner
Teiknemaskin
2.4 Problem og løsninger
2.4.1 Optokobleren
Sensorene avbildet på figur 11 viser kretskortet på en av modulene. Funksjonen var ukjent for oss, så
vi var nødt til å måle oss fram. For å finne ut hvilken spenning som skulle settes på tegnet vi opp
kretsen ut i fra målinger vi gjorde og simulerte den i Multisim, for å sjekke spenningen over diodene.
Simuleringen viste at vi kunne trygt sette på 12V.
Figur 11: Travers sensorer
De første måleresultatene vi hadde ut fra sensorene var mellom 11,98V når sensoren sendte ut et lav
signal, og 12,08V når den sendte ut et høy signal. Ut i fra disse måleresultatene skjønte vi at dette
ville bli et problem, spesielt etter vi hadde sett på signalet gjennom et oscilloskop. Vi kom fram til at
vi måtte måle strøm ut fra sensorene. Vi målte da 0,08mA på lav signal og 0,33mA på høy. Dette var
et signal vi kunne forsterke via transistor og få inn på Altera-kortet.
Vi var ikke helt sikre på hvordan vi skulle bruke sensorene, men dokumentasjonen som dukket opp
senere hadde tegninger på hvordan dette var koblet opp tidligere, og den var til god nytte her. Se
vedlegg 9 for originaltegningene vi brukte..
Figur 12 viser hvordan vi har løst deteksjon av
endestopp. Signalet fra optokobleren kommer
inn der det står «puls høyre endestopp travers»,
dette signalet får transistoren til å lede. Dette
fører til at spolen på reléet får jord og det blir
sendt et 5V signal videre til Altera-kortet (IO_B0 /
PIN_K25).
Figur 12: Endestopp
Side 12 av 18
Prosjektarbeid
EL2-203 Elektronikk og datamaskiner
Teiknemaskin
Figur 13: Teller
Figur 13 viser hvordan optokobleren for telling er koblet. Operasjonsforsterker og transistortrinn gir
5V til Altera-kortet. Signalet fra optokobleren kommer inn hvor det står «puls cat» blir forsterket og
går videre til Altera-kortet hvor det står IO_B4 / PIN_M19.
2.4.2 Transistortrinn
Under planlegging av kretskortet, trudde me at me kunne styre relea direkte frå Altera. Dette viste
seg å være feil og me måtte inn med transistortrinn.
Altera DE2 sender ut 3,3V og spolen på relea var til 5V. Transistorens kollektor går til spolen medan
emitter går til jord. Ved måling fann me ut at kortet leverer maksimum 27,6 mA. For å rekne ut kva
motstand me måtte ha foran basen på transistoren gjorde me følgjande:
Likestraummotstanden til spolen fann me i datablad. Denne var 84,74
ohm, me kallar den Rc. Formelen for største straum gjennom
transistoren er 𝐼𝑐𝑚𝑎𝑘𝑠 =
𝑈−𝑈𝑐𝑒.𝑠𝑎𝑡
𝑅𝑐
Der U er spenninga frå Thandar (5V) og Uce.sat er metting-spenninga
(0,3V) til transistoren som me fekk frå datablad. Dette gir oss
55,46mA. hfe måler me med multimeter, og får 282.
Figur 14: Transistor
hfe =
𝐼𝑐
𝐼𝑏
, der me vil finne Ib. Me snur lett på dette og finn at den må
være 197µA. Dersom me ynskjer å drive 4 relé samtidig gir dette oss ein totalstraum på 0,79mA så
me er godt innafor 27,6mA.
Me finn spenninga transistoren begynner å lede på ved å kople Thandar til base på transistor. Den
begynner å lede ved 0,76V. Med formelen for 𝑅𝑏 =
𝑈𝑏−𝑈𝑏𝑒
𝐼𝑏
som vart
3,3𝑉−0,76𝑉
197µ𝐴
fekk me at verdien
for Rb vart 13KΩ. Me hadde ikkje 13KΩ på laben, så me brukte 15kΩ. Me kontrollerte at det fungerte
på eit brett og monterte dette på kretskortet.
Side 13 av 18
Prosjektarbeid
EL2-203 Elektronikk og datamaskiner
Teiknemaskin
2.4.3 Støy og prell
Når me starta opp var det ein del spenningar som skapte støy i starten. Dette løyste me ved å legge
inn ein forseinking i Verilog-blokkene våre. Sjå algoritme for rektangel vedlegg 5.
Me la inn «pulldown» motstandar på alle inputar som kom frå relea for å hindre at dei låg utan
potensiale.
Me filtrerte støy frå endestopper og teljarar med filterblokken. Dette filteret jobba saman med ein
klokkeforseinkinga. Dette gjorde at me fjerna det meste av støyt og prell problematikken.
Eit av problema me hadde var at x-teljaren telte når y- og z-aksen var i bevegelse, dette løyste me
ved å legge inn teljing for x berre når x-aksen var aktiv.
Me la merke til at elektromagneten skapte litt støy men dette påverka ikkje programmet me laga.
I utgangspunktet hadde me tenkt å bruke 12V på alle motorane, men når me begynte med testing
såg me at tråleik i motoren gjorde at vinsjen gjekk forbi endestoppen. Me løyste dette ved å sette 5V
på vinsjen i staden.
Side 14 av 18
Prosjektarbeid
EL2-203 Elektronikk og datamaskiner
Teiknemaskin
2.5 Framdriftsplan og timebruk
Me laga oss ein framdriftsplan under forprosjektet, dette var eigentleg vill gjetting sidan me ikkje har
arbeida med prosjekt før.
Figur 15: Framdriftsplan og timebruk
Diagrammet på figur 15 viser at det gjekk ein del meir tid på grensesnittet enn planlagt. Diagrammet
viser òg at det gjekk mindre tid på feilsøking og dokumentering enn først estimert.
Sjølv om ramma vår i utgangspunktet var på 40 timar, var det eit ynskje frå vår side å bli ferdig med
prosjektet, og få eit resultat me kunne vise fram. Me vil påpeike at tidsbruken på dette prosjektet
ikkje har gått på kostnad av andre fag.
Side 15 av 18
Prosjektarbeid
EL2-203 Elektronikk og datamaskiner
Teiknemaskin
2.6 Budsjett og komponentliste
2.6.1 Budsjett
Fra skolen hadde vi 1000 kroner til disposisjon. I utgangspunktet hadde vi ikke noe mål om hvor mye
penger vi kom til å bruke på prosjektet.
2.6.2 Komponentliste og regnskap
Tabell 2: Materiellliste
Materiell:
O-Ringsett M/ 426 deler
Universalkniv M/ utskyvbart blad
Kabelklips
C547B W 70 Transistor
KA324 (405B) Op-Amp
Omron G6DS-1A-H 12 VDC Relé (En-polt)
Omron G65-2214P-5VDC Relé (To-polt)
Dioder
68 KΩ Motstand
47 KΩ Motstand
10 KΩ Motstand
15 KΩ Motstand
39 KΩ Motstand
12 KΩ Motstand
100 KΩ Motstand
1,9 KΩ Motstand
1 Ω Motstand
5,1 KΩ Motstand
Trykkfjær 10X40X1,0MM
Uttak 2xRJ45 UTP kat5e
Bolt M8 mm.
Gjengestag anker M8 mm.
Thandar spenningskilde
Totalt:
Pris: (kr.) Antall: (Stk.) Sum: (kr.)
Merknad:
169
1
169 Kjøpt på Biltema
14,90
1
14,90 Kjøpt på Biltema
29,90
1
29,90 Kjøpt på Biltema
0
16
0 Fått av skolen
0
1
0 Fått av skolen
0
6
0 Fått av skolen
0
7
0 Fått av skolen
0
6
0 Fått av skolen
0
6
0 Fått av skolen
0
6
0 Fått av skolen
0
6
0 Fått av skolen
0
7
0 Fått av skolen
0
3
0 Fått av skolen
0
3
0 Fått av skolen
0
6
0 Fått av skolen
0
3
0 Fått av skolen
0
3
0 Fått av skolen
0
3
0 Fått av skolen
5,50
2
11 Kjøpt på Tools
0
2
0 Fått av Elektroskandia
0
1
0 Fått av Würth
0
1
0 Fått av Würth
0
2
0 Lånt av skolen
219,30
93
224,80
Tabell 2 viser regnskapet vårt. Vi ser at vi er langt under grensen på 1000 kr.
Side 16 av 18
Prosjektarbeid
EL2-203 Elektronikk og datamaskiner
Teiknemaskin
3 Konklusjon
Dette prosjektet har gitt oss mer kunnskap i faget EL2-203 Elektronikk og datamaskiner.
Arbeidsprosessen har bydd på noen utfordringer underveis, i hovedsak har det vært optokobleren
det har vært utfordring med. Det var også en liten jobb med å forsterke utgangssignalet fra Alterakortet. De fleste ting vi ikke helt hadde lykkes med, løste seg greit i det vi fikk tak i dokumentasjonen
til modellen.
Ut i fra tiden vi hadde satt av til prosjektet, brukte gruppen en del mer tid. Tiden hadde nok vært en
del mindre hvis vi hadde fått dokumentasjonen til modellen på et tidligere tidspunkt. Da hadde vi
spart oss for mye arbeid relatert til søking på tellerfunksjonene. Det gikk også en god del ekstra tid på
lodding av kretskort i forhold til det som var planlagt.
Gruppen har lært mye om programmering i Quartus, og arbeid med beregninger og kretsoppbygning
i elektronikk.
Gruppen har også fått god erfaring med estimering av tidsbruk på prosjekt.
Før prosjektet starta vart me einige om å ikkje dele opp arbeidsoppgåvene. Hovudgrunnen til dette
var at me ville få mest mogleg læringsutbyte, både frå tidlegare erfaringar me har gjort oss
individuelt og under prosjektet på skulen. For stor oppdeling av arbeidsoppgåver ville ført til at berre
ein av oss ville blitt god på programmering, medan den andre ville vore god på elektronikk.
Alt har ikkje har gått med optimal effektivitet, me hadde kanskje brukt mindre tid dersom ein av oss
begynte med programmeringa medan den andre fann ut av elektronikken. Me unngjekk mykje feil i
sluttfasen ved at me alltid var to under produksjonen av kretskortet, sidan me var nøydd å gjere ein
del endringar undervegs som me sikkert ikkje hadde oppdaga om berre ein av oss jobba med det.
Me har laga ein brukarrettleiing som du finn i vedlegg 1.
Side 17 av 18
Prosjektarbeid
EL2-203 Elektronikk og datamaskiner
Teiknemaskin
4 Referanseliste
4.1 Kjelder
1. Brukermanualen til Altera DE2: ftp://ftp.altera.com/up/pub/Webdocs/DE2_UserManual.pdf
(25.11.2012)
2. Vedlagt dokumentasjon frå originalstyringa til kranen.
3. Vedlagte datablad.
4.2 Figurar
Figur 1: Prinsippskisse.............................................................................................................................. 8
Figur 2: NOT............................................................................................................................................. 9
Figur 3: AND ............................................................................................................................................ 9
Figur 4: OR ............................................................................................................................................... 9
Figur 5: Rektangel.................................................................................................................................. 10
Figur 6: Startpos .................................................................................................................................... 10
Figur 7: Hentefunksjon .......................................................................................................................... 10
Figur 8: Filter.......................................................................................................................................... 11
Figur 9: Delay ......................................................................................................................................... 11
Figur 10: DelayTeller .............................................................................................................................. 11
Figur 11: Travers sensorer ..................................................................................................................... 12
Figur 12: Endestopp ............................................................................................................................... 12
Figur 13: Teller ....................................................................................................................................... 13
Figur 14: Transistor................................................................................................................................ 13
Figur 15: Framdriftsplan og timebruk ................................................................................................... 15
4.3 Tabeller
Tabell 1: Fremmedord ............................................................................................................................. 5
Tabell 2: Materiellliste ........................................................................................................................... 16
Vedlegg
1
2
3
4
5
6
7
8
9
Brukarrettleiing
Skjema over kretskort
Koblingsskjema og PIN liste
Bilde av logikk i Quartus
Algoritme for «Rektangel»
Verilog koder
Datablad
Arbeidslogg
Originaltegninger
Side 18 av 18
Brukarrettleiing
Går ut i frå at rett program ligg inne på Altera. Dersom den originale styringa frå leverandør er
montert, må denne demonterast og plata med vårt kretskort må monterast. Kablane frå modulane
må pluggast inn i datakontaktane som er nummererte i same rekkefølgje som under.
3. Vinsj, 4. Magnet, 1. Travers, 2. Cat. Dette er viktig elles vil det bli feil under kjøring av kran.
Etter dette treng du spenning inn på kretskortet. På kretskortet er det 4 skrukontaktar. Du skal kople
til på nr 1, 2, og 4 frå venstre. Sjå figur 16.
Det går ein grøn lask frå 2. kontakt til 3. (merka svart på figur) Det går også ein leidning frå nr 4. som
er gul og går inn på kretskortet. Pass på at begge desse er med. Elles vil det bli problem med jording,
og motoren til katten vil ikkje få spenning.
Dersom alt dette er i orden pluggar du i ein flatkabel frå kontakten på kretskortet til kontakten på
Altera. Bruk GPIO_1.
Når dette er gjort kan du gjere dette for å styre kranen.
SW_ 17: Travers høgre
SW_16: Travers venstre
SW_11: Magnet på/av
SW_15: Katt framover
SW_14: Katt bakover
SW_13: Vinsj opp
SW_12: Vinsj ned
KEY3: Gå til 0 posisjon
KEY2: Teikne rektangel.
KEY1: Plukk opp / Sett frå blyant
På Altera vil du sjå at ein LED over kvar
brytar lyser dersom kranen er i eit
ytterpunkt.
LEDG 7,6 og 5 er tellarane for travers,
katt og vinsj.
Dersom den går seint eller veldig
hakkete, kan dette være på grunn av
o-ringar som må bytast.
Koblingsskjema:
Farge/plugg Nr
Travers(x):
1
1
Orange
Blå
2
Grønn
3
Brun
4
Gul
5
Rød
6
Kvit
7
Svart
8
Katt(y):
2
Orange
1
Blå
2
Grønn
3
Brun
4
Gul
5
Rød
6
Kvit
7
Svart
8
Vinsj(z):
3
1
2
3
4
5
6
7
8
Magnet:
4
Svart/kvit
1
Gul/rød
2
Farge/ut
Svart
Rød
Svart
Rød
Rød
Svart
blå
blå
Komponent
Farge på datakabel
Bein 2 på diode S1.4 (teller)
Felles til bein 1/4 på alle dioder (+12v)
Ledig
Motor +
Bein 2 på diode S1.3 (venstre stopp)
Motor Bein 2 på diode S1.2 (Høgre stopp)
Felles til forankobla resistanser (0v)
Brun
Brun/kvit
Grønn
Grønn/kvit
Blå
Blå/kvit
Orange
Orange/kvit
Bein 2 på diode S2.4 (teller)
Felles til bein 1/4 på alle dioder (+12v)
Ledig
Motor +
Bein 2 på diode S2.3 (bakre stopp)
Motor Bein 2 på diode S2.2 (framre stopp)
Felles til forankobla resistanser (0v)
Brun
Brun/kvit
Grønn
Grønn/kvit
Blå
Blå/kvit
Orange
Orange/kvit
Bein 2 på diode S3.3 (teller)
Felles til bein 1/4 på alle dioder (+12v)
Ledig
Motor +
Bein 2 på diode S3.2 (øvre stopp)
Motor Bein 2 på diode S3.4 (nedre stopp)
Felles til forankobla resistanser (0v)
Brun
Brun/kvit
Grønn
Grønn/kvit
Blå
Blå/kvit
Orange
Orange/kvit
Magnet
Magnet
PIN Liste
Funksjon
navn på IO
Inputs:
X_MAX
IO_B0
PULS_X
IO_B1
X_MIN
IO_B2
Y_MAX
IO_B3
PULS_Y
IO_B4
Y_MIN
IO_B5
Z_MIN
IO_B8
PULS_Z
IO_B7
Z_MAX
IO_B6
Outputs:
MOTOR_X_HOIO_B13
MOTOR_X_VEIO_B15
MOTOR_Y_FR IO_B17
MOTOR_Y_BAIO_B19
MOTOR_Z_OPIO_B21
MOTOR_Z_NEIO_B23
o ElektromagnIO_B25
GND mellom B9 og B11
Pin
PIN_K25
PIN_K26
PIN_M22
PIN_M23
PIN_M19
PIN_M20
PIN_M24
PIN_M21
PIN_N20
PIN_T22
PIN_R24
PIN_T24
PIN_T18
PIN_U25
PIN_T20
PIN_U24
Algoritme for «Rektangel»
Venter på
startpuls
Travers
(X) går til
0
Vinsj (Z)
går til 0
Finn
startpos.
X
Finn
Startpos.
Y
Travers
går mot
høgre
Katt går
bakover
Katt går
framover
Travers
går mot
venstre
Katt (Y)
går til 0
Vinsj (Z)
senkes til
skrivepos.
Stopper
og går til
venter
module
rektangel(clock,x_min,x_max,y_min,y_max,z_min,z_max,puls_x,puls_y,puls_z,knapp_2,motor_x_vens,motor_x_h
ogr,motor_y_fram,motor_y_bak,motor_z_opp,motor_z_ned,dispX,dispY,dispZ);
input clock,x_min,x_max,y_min,y_max,z_min,z_max,puls_x,puls_y,puls_z, knapp_2;
output reg motor_x_vens,motor_x_hogr,motor_y_fram,motor_y_bak,motor_z_opp,motor_z_ned;
output reg [7:0] dispX,dispY,dispZ;
reg old_knapp_2,kjor;
reg old_puls_x, old_puls_y, old_puls_z;
integer teller_x,teller_y,teller_z;
integer teller;
reg [4:0] state,next;
parameter
WAIT
= 0,
SET_START_Z = 1,
SET_START_X = 2,
SET_START_Y = 3,
SET_BEG_X=
4,
SET_BEG_Y=
5,
SET_BEG_Z=
6,
LINJE_X_NED= 7,
LINJE_Y_HOG= 8,
LINJE_X_OPP= 9,
LINJE_Y_VENS= 10,
STOPP=
11;
always @ (posedge clock)
begin
if (knapp_2 == 1 && old_knapp_2 == 0)
kjor=1;
old_knapp_2=knapp_2;
if (kjor==1)
begin
state = next;
case (state)
WAIT
: begin teller = teller +1; teller_x=0; if (teller > 50000000) next= SET_START_Z; end
SET_START_Z : if (z_max==1) next = SET_START_X;
SET_START_X : if (x_min==1) next = SET_START_Y;
SET_START_Y : if (y_min==1) begin teller_x=0; teller_y=0; teller_z=0; next = SET_BEG_X ; end
SET_BEG_X
: if (teller_x > 32) next = SET_BEG_Y;
SET_BEG_Y : if (teller_y > 1) next = SET_BEG_Z;
SET_BEG_Z
: if (teller_z > 76 || z_min == 1) next = LINJE_X_NED;
LINJE_X_NED : if (teller_x > 110 || x_max == 1) next = LINJE_Y_HOG;
LINJE_Y_HOG : if (teller_y > 25 || y_max == 1) next = LINJE_X_OPP;
LINJE_X_OPP : if (teller_x > 192 || x_min == 1) next = LINJE_Y_VENS;
LINJE_Y_VENS: if (teller_y > 52 || y_min == 1) next = STOPP;
STOPP
: if (knapp_2 == 1) begin next = WAIT; kjor=0; end
endcase
if (state == SET_START_Z) begin motor_z_opp=1; end
else if (state == SET_START_X) begin motor_z_opp=0; motor_x_vens=1; end
else if (state == SET_START_Y) begin motor_x_vens=0; motor_y_bak=1; end
else if (state == SET_BEG_X) begin motor_y_bak=0; motor_x_hogr=1;
if (puls_x==1&& old_puls_x==0)
teller_x=teller_x+1;
old_puls_x=puls_x;
end
else if (state == SET_BEG_Y) begin motor_x_hogr=0;motor_y_fram=1;
if (puls_y==1&& old_puls_y==0)
teller_y=teller_y+1;
else if (state == SET_BEG_Z)
old_puls_y=puls_y;
end
begin motor_y_fram=0; motor_z_ned=1;
if (puls_z==1&& old_puls_z==0)
teller_z=teller_z+1;
old_puls_z=puls_z;
end
else if (state == LINJE_X_NED) begin motor_z_ned=0; motor_x_hogr=1;
if (puls_x==1&& old_puls_x==0)
teller_x=teller_x+1;
old_puls_x=puls_x;
end
else if (state == LINJE_Y_HOG) begin motor_x_hogr=0; motor_y_fram=1;
if (puls_y==1&& old_puls_y==0)
teller_y=teller_y+1;
old_puls_y=puls_y;
end
else if (state == LINJE_X_OPP) begin motor_y_fram=0; motor_x_vens=1;
if (puls_x==1&& old_puls_x==0)
teller_x=teller_x+1;
old_puls_x=puls_x;
end
else if (state == LINJE_Y_VENS) begin motor_x_vens=0; motor_y_bak=1;
if (puls_y==1&& old_puls_y==0)
teller_y=teller_y+1;
old_puls_y=puls_y;
end
else if (state == STOPP)
begin motor_y_bak=0;end
dispX=teller_x;
dispY=teller_y;
dispZ=teller_z;
end
end
endmodule
module startpos(clock,x_min,y_min,z_max,motor_x_vens,motor_y_bak,motor_z_opp,knapp_3);
input clock,x_min,y_min,z_max,knapp_3;
output reg motor_x_vens,motor_y_bak,motor_z_opp;
reg old_knapp_3,kjor;
integer teller;
reg [3:0] state,next;
parameter
WAIT
= 0,
SET_START_Z = 1,
SET_START_X = 2,
SET_START_Y = 3,
STOPP=
4;
always @ (posedge clock)
begin
if (knapp_3 == 1 && old_knapp_3 == 0)
kjor=1;
old_knapp_3=knapp_3;
if (kjor==1)
begin
state = next;
case (state)
WAIT
: begin teller = teller +1; if (teller > 50000000) next= SET_START_Z; end
SET_START_Z : if (z_max==1) next = SET_START_X;
SET_START_X : if (x_min==1) next = SET_START_Y;
SET_START_Y : if (y_min==1) begin next = STOPP ; end
STOPP
: if (knapp_3 == 1) begin next = WAIT; kjor=0; end
endcase
if (state == SET_START_Z) begin motor_z_opp=1; end
else if (state == SET_START_X) begin motor_z_opp=0; motor_x_vens=1; end
else if (state == SET_START_Y) begin motor_x_vens=0; motor_y_bak=1; end
else if (state == STOPP)
begin motor_y_bak=0; end
end
end
endmodule
module
hentetjommi(clock,x_max,y_max,z_min,z_max,puls_z,knapp_1,motor_x_hogr,motor_y_fram,motor_z_opp,motor_
z_ned,elektromagnet);
input clock,x_max,y_max,z_min,z_max,puls_z, knapp_1;
output reg motor_x_hogr,motor_y_fram,motor_z_opp,motor_z_ned, elektromagnet;
reg old_knapp_1,kjor;
reg old_puls_z;
integer teller_z;
integer teller;
reg [4:0] state,next;
parameter
WAIT
= 0,
SET_START_Z = 1,
SET_END_X = 2,
SET_END_Y = 3,
SET_Z_HENT = 4,
SET_MAG_ON = 5,
SET_Z_HEV = 6,
STOPP
= 7;
always @ (posedge clock)
begin
if (knapp_1 == 1 && old_knapp_1 == 0)
kjor=1;
old_knapp_1=knapp_1;
if (kjor==1)
begin
state = next;
case (state)
WAIT
: begin teller = teller +1;if (teller > 50000000)next= SET_START_Z; end
SET_START_Z : if (z_max==1) next = SET_END_X;
SET_END_X : if (x_max==1) next = SET_END_Y;
SET_END_Y : if (y_max==1) next = SET_Z_HENT;
SET_Z_HENT : if (teller_z > 68) begin next = SET_MAG_ON; end
SET_MAG_ON : next = SET_Z_HEV;
SET_Z_HEV : if (teller_z > 108) begin teller_z=0; next = STOPP; end
STOPP
: if (knapp_1 == 1) begin next = WAIT; kjor=0; end
endcase
if (state == SET_START_Z) begin motor_z_opp=1; end
else if (state == SET_END_X) begin motor_z_opp=0; motor_x_hogr=1; end
else if (state == SET_END_Y) begin motor_x_hogr=0; motor_y_fram=1; end
else if (state == SET_Z_HENT) begin motor_y_fram=0; motor_z_ned=1; if (puls_z==1 &&
old_puls_z==0) teller_z=teller_z+1; old_puls_z=puls_z; end
else if (state == SET_MAG_ON) begin elektromagnet = 1-elektromagnet; end
else if (state == SET_Z_HEV) begin motor_z_ned=0; motor_z_opp=1; if (puls_z==1 && old_puls_z==0)
teller_z=teller_z+1; old_puls_z=puls_z; end
else if (state == STOPP)
begin motor_z_opp=0; end
end
end
endmodule
module filter(in,clock,out);
input in,clock;
output reg out;
integer teller;
reg [7:0]buff;
always @ (posedge clock)
begin
buff[7]=buff[6];
buff[6]=buff[5];
buff[5]=buff[4];
buff[4]=buff[3];
buff[3]=buff[2];
buff[2]=buff[1];
buff[1]=buff[0];
buff[0]=in;
if (buff == 0)
out =0;
else if (buff ==255)
out =1;
end
endmodule
module delay(clockin,clockout);
input clockin;
output reg clockout;
integer teller ;
integer tid;
always @ (posedge clockin)
begin
tid =500000;
teller = teller +1;
if (teller < tid)
clockout =0;
else if (teller < 2*tid)
clockout=1;
else
teller =0;
end
endmodule
module delayTeller(clockin,clockout);
input clockin;
output reg clockout;
integer teller ;
integer tid;
always @ (posedge clockin)
begin
tid = 1000;
teller = teller +1;
if (teller < tid)
clockout =0;
else if (teller < 2*tid) // 50MHz/2000 = 25KHz
clockout=1;
else
teller =0;
end
endmodule
PCB Relay
G6B
Subminiature Relay that Switches up to
5A
■
■
■
■
Subminiature: 20 ×10 ×10 mm (L × W × H).
Low power consumption: 200 mW.
Unique moving loop armature reduces relay size,
magnetic interference, and contact bounce time.
Single- and double-winding latching types also
available.
RoHS Compliant
Note:
The information in this datasheet applies to PCB Relays
manufactured after January 2007.
RC+
Ordering Information
Classification
Contact form
Single-side stable
SPST-NO
Straight PCB
Self-clinching PCB
G6B-1114P-US
G6B-1114C-US
SPST-NO+SPST-NC
G6B-2114P-US
G6B-2114C-US
DPST-NO
G6B-2214P-US
G6B-2214C-US
DPST-NC
G6B-2014P-US
G6B-2014C-US
Single-winding latching
SPST-NO
G6BU-1114P-US
G6BU-1114C-US
Double-winding latching
SPST-NO
G6BK-1114P-US
G6BK-1114C-US
High-capacity single-side stable
SPST-NO
G6B-1174P-US
G6B-1174C-US
Note: When ordering, add the rated coil voltage to the model number.
Example: G6B-1114P-US 12 VDC
Rated coil voltage
Model Number Legend
G6B
1
2 3
4 5
6
1. Relay Function
None: Single-side stable
U:
Single-winding latching
K:
Double-winding latching
2. Contact Form
21: SPST-NO + SPST-NC
22: DPST-NO
20: DPST-NC
11: SPST-NO
VDC
7 8
3. Contact Type
1: Standard
7: High-capacity
4. Enclosure Ratings
4: Fully sealed
5. Terminals
P: Straight PCB
C: Self-clinching PCB
6. Approved Standards
US: UL/CSA certified
7. Mounting
None: Mounted directly to PCB
P6B: Mounted to Socket
8. Rated Coil Voltage
5, 6, 12, or 24 VDC
173
G6B
■
G6B
Accessories (Order Separately)
Back Connecting Sockets
Applicable Relay
Back Connecting Socket (See note 1.)
G6B(U)-1114P-US-P6B
P6B-04P
G6BK-1114P-US-P6B
P6B-06P
G6B-2@@4P-US-P6B
P6B-26P
G6B-1174P-US-P6B
P6B-04P
Note:
1. Not applicable to the self-clinching type.
2. Use the G6B-@@@@P-US P6B to mount to a P6B Socket.
Removal Tool
P6B-Y1
Hold-down Clips
P6B-C2
Specifications
■
Coil Ratings
Single-side Stable Type
Item
SPST-NO
SPST-NO + SPST-NC, DPST-NO, DPST-NC
Rated voltage (VDC)
5
6
12
24
5
6
12
24
Rated current (mA)
40
33.3
16.7
8.3
60
50
25
12.5
Coil resistance (Ω)
Coil inductance
(H) (ref. value)
125
180
720
2,880
83.3
120
480
1,920
Armature OFF 0.28
0.31
1.2
4.9
---
---
---
---
Armature ON
0.28
1.1
4.1
---
---
---
---
0.26
Must operate voltage
70% max. of rated voltage
80% max. of rated voltage
Must release voltage
10% min. of rated voltage
Max. voltage
160% of rated voltage (at 23°C)
140% of rated voltage (at 23°C)
Power consumption
Approx. 200 mW
Approx. 300 mW
Single-winding Latching Type
Rated voltage
5 VDC
6 VDC
12 VDC
24 VDC
Rated current
40 mA
33.3 mA
16.7 mA
8.3 mA
180 Ω
720 Ω
2,880 Ω
Armature OFF 0.28
125 Ω
0.31
1.2
4.9
Armature ON
0.28
1.1
4.1
Coil resistance
Coil inductance
(H) (ref. value)
0.26
Must operate voltage
70% max. of rated voltage
Must release voltage
70% min. of rated voltage
Max. voltage
160% of rated voltage (at 23°C)
Power consumption
Approx. 200 mW
Double-winding Latching Type
Rated voltage
5 VDC
6 VDC
12 VDC
24 VDC
Set coil
56 mA
46.8 mA
23.3 mA
11.7 mA
Rated current
89.2 Ω
128.5 Ω
515 Ω
2,060 Ω
Armature OFF
0.15
0.18
0.52
1.2
Armature ON
0.15
0.18
0.52
1.2
56 mA
46.8 mA
23.3 mA
11.7 mA
89.2 Ω
128.5 Ω
515 Ω
2,060 Ω
Armature OFF
0.15
0.18
0.52
1.2
Armature ON
0.15
0.18
0.52
1.2
Coil resistance
Coil inductance
(H) (ref. value)
Reset coil Rated current
Coil resistance
Coil inductance
(H) (ref. value)
Must set voltage
70% max. of rated voltage
Must reset voltage
70% min. of rated voltage
Max. voltage
130% of rated voltage (at 23°C)
Power consumption
Set coil: Approx. 280 mW
Reset coil: Approx. 280 mW
Note:
174
1. The rated current and coil resistance are measured at a coil temperature of 23°C with a tolerance of ±10%.
2. Operating characteristics are measured at a coil temperature of 23°C.
G6B
■
G6B
Contact Ratings
Item
SPST-NO
SPST-NO + SPST-NC, DPST-NO, DPST-NC
Load
Resistive load
(cosφ = 1)
Inductive load
(cosφ = 0.4; L/R = 7 ms)
Resistive load
(cosφ = 1)
Inductive load
(cosφ = 0.4; L/R = 7 ms)
Rated load
5 A at 250 VAC;
5A at 30 VDC
2 A at 250 VAC;
2 A at 30 VDC
5 A at 250 VAC;
5A at 30 VDC
1.5 A at 250 VAC;
1.5 A at 30 VDC
Contact material
Ag Alloy (Cd free)
Rated carry current
5A
Max. switching voltage
380 VAC, 125 VDC
Max. switching current
5A
Max. switching power
1,250 VA, 150 W
500 VA, 60 W
1,250 VA, 150 W
375 VA, 80 W
Failure rate (reference value)
10 mA at 5 VDC
Item
SPST-NO (High-capacity)
Load
Resistive load (cosφ = 1)
Inductive load (cosφ = 0.4; L/R = 7 ms)
Rated load
8 A at 250 VAC; 8 A at 30 VDC
2 A at 250 VAC; 2 A at 30 VDC
Contact material
Ag Alloy (Cd free)
Rated carry current
8A
Max. switching voltage
380 VAC, 125 VDC
Max. switching current
8A
Max. switching power
2,000 VA, 150 W
Failure rate (reference value)
10 mA at 5 VDC
Note:
■
P level: λ60 = 0.1 x 10-6/operation
Characteristics
Contact resistance
30 mΩ max.
Operate (set) time
10 ms max. (mean value: 1-pole approx. 3 ms, 2-pole approx. 4 ms)
Release (reset) time
Single-side stable types: 10 ms max. (mean value: 1-pole approx. 1 ms, 2-pole approx. 2 ms)
Latching types:
10 ms max. (mean value: approx. 3 ms)
Min. set/reset signal width
Latching type: 15 ms min. (at 23°C)
Max. operating frequency
Mechanical: 18,000 operations/hr
Electrical:
1,800 operations/hr (under rated load)
Insulation resistance
1,000 MΩ min. (at 500 VDC, at 250 VDC between set coil and reset coil)
Dielectric strength
3,000 VAC (Latching types: 2,000 VAC), 50/60 Hz for 1 min between coil and contacts
1,000 VAC, 50/60 Hz for 1 min between contacts of same polarity
250 VAC, 50/60 Hz for 1 min between set and reset coils
2,000 VAC, 50/60 Hz for 1 min between contacts of different polarity
Vibration resistance
Destruction: 10 to 55 to 10 Hz, 0.75-mm single amplitude (1.5-mm double amplitude)
Malfunction: 10 to 55 to 10 Hz, 0.75-mm single amplitude (1.5-mm double amplitude)
Shock resistance
Destruction: 1,000 m/s2
Malfunction: Single-side stable: 100 m/s2; Latching: 300 m/s2
Endurance
Mechanical: 50,000,000 operations min. (at 18,000 operations/hr)
Electrical: 100,000 operation min. (at 1,800 operations/hr)
Ambient temperature
Operating: −25°C to 70°C (with no icing)
Ambient humidity
Operating: 5% to 85%
Weight
Double-winding latching:
High-capacity:
Double pole:
Other:
Note:
Approx. 3.7 g
Approx. 4.6 g
Approx. 4.5 g
Approx. 3.5 g
The data shown above are initial values.
175
G6B
■
G6B
Approved Standards
UL508 (File No. E41643)/CSA C22.2 No.14 (File No. LR31928)
Model
Contact form
G6B-1114P-US
G6B-1114C-US
G6BU-1114P-US
G6BU-1114C-US
G6BK-1114C-US
G6BK-1114C-US
SPST-NO
Coil rating
Contact rating
3 to 24 VDC
5 A, 250 VAC (general use)
5 A, 30 VDC (resistive load)
G6B-1174P-US
G6B-1174C-US
8 A, 250 VAC (general use)
8 A, 30 VDC (resistive load)
G6B-2114P-US
G6B-2114C-US
G6B-2214P-US
G6B-2214C-US
G6B-2014P-US
G6B-2014C-US
SPST-NO + SPST-NC
DPST-NO
DPST-NC
5 A, 250 VAC (general use)
5 A, 30 VDC (resistive load)
Engineering Data
AC resistive load
DC inductive load
(L/R = 7 ms)
DC resistive load
Switching voltage (V)
Ambient Temperature vs.
Maximum Coil Voltage
G6B-1114P(C)-US
250 VAC/30 VDC resistive load
G6B-1174P(C)-US
250 VAC/30 VDC
resistive load
G6B-1114P(C)-US
250 VAC/30 VDC
inductive load
(cosφ = 0.4, L/R = 7 ms)
Switching current (A)
Maximum coil voltage (%)
AC inductive load
(cosφ = 0.4)
Endurance
Endurance (x103 operations)
Switching current (A)
G6B-1114P-US
Maximum Switching Power
G6B-1174P-US
G6B-1114P-US
G6B-2114P-US
G6B-2214P-US
G6B-2014P-US
Ambient temperature (°C)
Note: The maximum coil voltage refers
to the maxi-mum value in a
varying range of operating power
voltage, not a continuous voltage.
AC inductive load
(cosφ = 0.4)
AC resistive
load
DC resistive load
DC inductive load
(L/R = 7 ms)
Switching voltage (V)
176
Endurance
Endurance (x10 3 operations)
Switching current (A)
G6B-2114P-US, G6B-2214P-US
G6B-2014P-US
Maximum Switching Power
250 VAC inductive load (cosφ = 0.4)
30 VDC inductive load (L/R = 7 ms)
250 VAC resistive load
30 VDC resistive load
Switching current (A)
G6B
G6B
Dimensions
Note:
1. All units are in millimeters unless otherwise indicated.
2. Orientation marks are indicated as follows:
G6B-1114P-US
G6BU-1114P-US
10 max.
(9.8)*
Terminal Arrangement/Internal
Connections (Bottom View)
G6B-1114P, -1114C
10 max.
(9.8)* 0.3
Mounting Holes
(Bottom View)
G6B-1114P, -1114C
G6BU-1114P, -1114C
3.5
0.85 0.9
0.5
0.5
0.9
20 max.
(19.9)*
1 6
3
4
Four,
1.1-dia. holes
10.16
+
7.62
*Average value
G6B-1114C-US
G6BU-1114C-US
7.62
10 max.
(9.8)*
(1.2)
G6BU-1114P, -1114C
10 max.
(9.8)* 0.3
1 - + 3
3.2
0.5
4
SR
6 + -
3.5
0.85 0.9
(1.1)
0.5
0.9
20 max.
(19.9)*
*Average value
10 max.
(9.8)*
G6BK-1114P-US
10 max.
(9.8)* 0.3
3.5
0.85
0.5
0.85
0.9
20 max.
(19.9)*
0.5
0.9
Mounting Holes
(Bottom View)
G6BK-1114P, -1114C
Terminal Arrangement/Internal
Connections (Bottom View)
G6BK-1114P, -1114C
2.54
1 -2 - 3
S R
*Average value
10 max.
(9.8)*
G6BK-1114C-US
6 +7 +
7.62
Six, 1.1-dia. holes
7.62
4
7.62
(1.2)
10 max.
(9.8)* 0.3
(1.1)
3.2
3.5
0.85
0.5
0.85
0.9
20 max.
(19.9)*
0.5
0.9
*Average value
G6B-1174P-US
10 max.
(9.9)*
12.5 max.
(12.45)* 0.65
3.5
0.85 0.9
0.45
0.5
0.9
20.2 max.
(20.0)*
Terminal Arrangement/Internal
Connections (Bottom View)
G6B-1174P, -1174C
Mounting Holes
(Bottom View)
10.16
Four, 1.1-dia. holes
7.62
*Average value
10 max.
(9.9)*
G6B-1174C-US
7.62
(1.2)
12.5 max.
(12.45)* 0.65
(1.1)
3.2
3.5
0.85 0.9
0.45
0.9
0.5
20.2 max.
(20.0)*
*Average value
177
G6B
G6B
G6B-2114P-US
G6B-2214P-US
G6B-2014P-US
20 max.
(19.9)*
Mounting Holes
(Bottom View)
Tolerance: ±0.1
Terminal Arrangement/Internal
Connections (Bottom View)
G6B-2114P-US
11 max.
(10.9)*
11 max.
1
-
3
4
+
8
6
5
Six, 1.1-dia.
±0.1 holes
(10.9)*
7.62
G6B-2214P-US
11 max.
(10.9)*
3.5
0.8
10.16
0.3
7.62
7.62
*Average value
G6B-2114C-US
G6B-2214C-US
G6B-2014C-US
1
-
3
4
+
8
6
5
(1.2)
(1.1)
11 max.
(10.9)*
11 max.
7.62
G6B-2014P-US
-1
3
4
+
8
6
5
Mounting Holes
(Bottom View)
Tolerance: ±0.1
Terminal Arrangement/Internal
Connections (Bottom View)
G6B-2114C-US
20 max.
(19.9)*
10.16
1
-
3
4
+
8
6
5
Six, 1.1-dia.
±0.1 holes
(10.9)*
G6B-2214C-US
-1
11 max.
(10.9)*
3.5
+
8
3.2
0.8
10.16
0.3
7.62
7.62
*Average value
3
7.62
4
(1.2)
6
(1.1)
5
10.16
7.62
G6B-2014C-US
1
-
3
4
+
8
6
5
Back Connecting Socket
P6B-04P
Mounting Holes (Bottom View)
23.2 max.
(23)*
10 max.
(9.9)*
10.16
7.62
10.1 max.
(10)*
(2.71)
*Average value
178
7.62
Four, 1.1-dia. holes
G6B
G6B
P6B-06P
23.2 max.
(23)*
Mounting Holes (Bottom View)
2.54 7.62
10 max.
(9.9)*
7.62
Six, 1.1-dia. holes
3
7.62
(2.71)
10.1 max.
7
(10)*
3.5
7.62
7.62
0.8
7.62
0.4
2.54
*Average value
Mounting Holes (Bottom View)
P6B-26P
23.2 max.
(23)*
Mounting Height of Relay
with Connecting Socket
Six, 1.1-dia. holes
11 max.
(10.8)*
7.62
17 mm max.
(2.61)
10.16
7.62
7 mm max.
10.1 max.
(10)*
Note: Rated current of
socket is 5 A max.
Note: Height of G6B-1174P-US
is 19.5 mm max.
*Average value
Removal Tool
P6B-Y1
Hold-down Clips
P6B-C2
Note: P6B-C2 Hold-down Clips
cannot be used for
G6B-1174P-US.
ALL DIMENSIONS SHOWN ARE IN MILLIMETERS.
To convert millimeters into inches, multiply by 0.03937. To convert grams into ounces, multiply by 0.03527.
Cat. No. K021-E1-07
179
MCC
BC546B
BC547A/B/C
BC548A/B/C
omponents
20736 Marilla Street Chatsworth
!"#
$
% !"#
TM
Micro Commercial Components
Features
NPN Silicon
Through Hole Package
150oC Junction Temperature
x
x
•
Amplifier Transistor
Case Material: Molded Plastic. UL Flammability
Classification Rating 94V-0 and MSL rating 1
Marking:Type Number
625mW
Lead Free Finish/Rohs Compliant) ("P"Suffix designates
Compliant. See ordering information)
TO-92
A
Mechanical Data
E
Case: TO-92, Molded Plastic
Polarity: indicated as below.
B
Maximum Ratings @ 25oC Unless Otherwise Specified
Charateristic
Collector-Emitter Voltage
Collector-Base Voltage
BC546
BC547
BC548
BC546
BC547
BC548
Emitter-Base Voltage
Symbol Value
65
VCEO
45
30
80
VCBO
50
30
VEBO
6.0
Collector Current(DC)
IC
Power Dissipation@TA=25oC
Pd
Power Dissipation@TC=25oC
Pd
Thermal Resistance, Junction to
Ambient Air
Thermal Resistance, Junction to
Case
Operating & Storage Temperature
Unit
V
D
V
100
625
5.0
1.5
12
mA
mW
mW/oC
W
mW/oC
RqJA
200
o
RqJC
83.3
o
Tj, TSTG -55~150
C
V
C/W
C/W
o
C
1
2
3
1-Collector
2-Base
3-Emitter
G
DIMENSIONS
DIM
A
B
C
D
E
G
INCHES
MIN
.170
.170
.550
.010
.130
.010
MAX
.190
.190
.590
.020
.160
.104
MM
MIN
4.33
4.30
13.97
0.36
3.30
2.44
MAX
4.83
4.83
14.97
0.56
3.96
2.64
NOTE
www.mccsemi.com
Revision: 7
1 of 5
2008/11/05
MCC
BC546 thru BC548C
TM
Micro Commercial Components
ELECTRICAL CHARACTERISTICS (TA = 25°C unless otherwise noted)
Characteristic
Symbol
Min
Typ
Max
Unit
OFF CHARACTERISTICS
Collector–Emitter Breakdown Voltage
(IC = 1.0 mA, IB = 0)
BC546
BC547
BC548
V(BR)CEO
65
45
30
—
—
—
—
—
—
V
Collector–Base Breakdown Voltage
(IC = 100 µAdc)
BC546
BC547
BC548
V(BR)CBO
80
50
30
—
—
—
—
—
—
V
Emitter–Base Breakdown Voltage
(IE = 10 mA, IC = 0)
BC546
BC547
BC548
V(BR)EBO
6.0
6.0
6.0
—
—
—
—
—
—
V
ON CHARACTERISTICS
DC Current Gain
(IC = 10 µA, VCE = 5.0 V)
hFE
—
BC547A/548A
BC546B/547B/548B
BC548C
—
—
—
90
150
270
—
—
—
(IC = 2.0 mA, VCE = 5.0 V)
BC547A/548A
BC546B/547B/548B
BC547C/BC548C
110
200
420
180
290
520
220
450
800
(IC = 100 mA, VCE = 5.0 V)
BC547A/548A
BC546B/547B/548B
BC548C
—
—
—
120
180
300
—
—
—
—
---
0.3
—
—
1.0
0.55
—
—
—
0.7
0.77
150
150
150
300
300
300
—
—
—
Collector–Emitter Saturation Voltage
(IC = 100 mA, IB = 5.0 mA)
VCE(sat)
Base–Emitter Saturation Voltage
(IC = 100 mA, IB = 5.0 mA)
VBE(sat)
Base–Emitter On Voltage
(IC = 2.0 mA, VCE = 5.0 V)
(IC = 10 mA, VCE = 5.0 V)
VBE(on)
V
V
V
SMALL–SIGNAL CHARACTERISTICS
Current–Gain — Bandwidth Product
(IC = 10 mA, VCE = 5.0 V, f = 100 MHz)
fT
BC546
BC547
BC548
MHz
Output Capacitance
(VCB = 10 V, IC = 0, f = 1.0 MHz)
Cobo
—
1.7
4.5
pF
Input Capacitance
(VEB = 0.5 V, IC = 0, f = 1.0 MHz)
Cibo
—
10
—
pF
Small–Signal Current Gain
(IC = 2.0 mA, VCE = 5.0 V, f = 1.0 kHz)
hfe
BC547A/548A
BC546B/547B/548B
BC547C/548C
Noise Figure
(IC = 0.2 mA, VCE = 5.0 V, RS = 2 kW,
f = 1.0 kHz, ∆f = 200 Hz)
—
125
240
450
220
330
600
260
500
900
—
—
—
2.0
2.0
2.0
10
10
10
NF
BC546
BC547
BC548
dB
www.mccsemi.com
2 of 5
Revision: 7
2008/11/05
MCC
BC546 thru BC548C
TA = 25°C
0.9
0.8
1.0
V, VOLTAGE (VOLTS)
hFE , NORMALIZED DC CURRENT GAIN
VCE = 10 V
TA = 25°C
1.5
0.8
0.6
0.4
VBE(sat) @ IC/IB = 10
0.7
VBE(on) @ VCE = 10 V
0.6
0.5
0.4
0.3
0.2
0.3
VCE(sat) @ IC/IB = 10
0.1
0.2
0.2
0.5
50
2.0
5.0 10
1.0
20
IC, COLLECTOR CURRENT (mAdc)
100
0
0.1
200
Figure 1. Normalized DC Current Gain
2.0
TA = 25°C
1.6
IC = 200 mA
1.2
IC =
IC =
10 mA 20 mA
0.8
IC = 50 mA
IC = 100 mA
0.4
0
0.02
10
0.1
1.0
IB, BASE CURRENT (mA)
0.2 0.3 0.5 0.7 1.0 2.0 3.0 5.0 7.0 10 20 30
IC, COLLECTOR CURRENT (mAdc)
50 70 100
Figure 2. “Saturation” and “On” Voltages
θVB, TEMPERATURE COEFFICIENT (mV/ °C)
VCE , COLLECTOR-EMITTER VOLTAGE (V)
Micro Commercial Components
1.0
2.0
1.0
-55°C to +125°C
1.2
1.6
2.0
2.4
2.8
20
10
1.0
IC, COLLECTOR CURRENT (mA)
0.2
Figure 3. Collector Saturation Region
100
Figure 4. Base–Emitter Temperature Coefficient
10
C, CAPACITANCE (pF)
7.0
TA = 25°C
5.0
Cib
3.0
Cob
2.0
1.0
0.4 0.6 0.8 1.0
2.0
4.0 6.0 8.0 10
VR, REVERSE VOLTAGE (VOLTS)
20
40
fă,
T CURRENT-GAIN - BANDWIDTH PRODUCT (MHz)
BC547/BC548
400
300
200
VCE = 10 V
TA = 25°C
100
80
Figure 5. Capacitances
60
40
30
20
0.5 0.7
1.0
2.0 3.0
5.0 7.0 10
20
IC, COLLECTOR CURRENT (mAdc)
30
50
Figure 6. Current–Gain – Bandwidth Product
www.mccsemi.com
3 of 5
Revision: 7
2008/11/05
TM
MCC
BC546 thru BC548C
Micro Commercial Components
BC547/BC548
TA = 25°C
VCE = 5 V
TA = 25°C
0.8
VBE(sat) @ IC/IB = 10
V, VOLTAGE (VOLTS)
hFE , DC CURRENT GAIN (NORMALIZED)
1.0
2.0
1.0
0.5
0.6
VBE @ VCE = 5.0 V
0.4
0.2
0.2
VCE(sat) @ IC/IB = 10
0
10
100
1.0
IC, COLLECTOR CURRENT (mA)
0.1 0.2
0.2
0.5
1.0
2.0
TA = 25°C
1.6
20 mA
50 mA
100 mA
200 mA
1.2
IC =
10 mA
0.8
0.4
0
0.02
0.05
0.1
0.2
0.5
1.0 2.0
IB, BASE CURRENT (mA)
50
100
200
50
100
200
Figure 8. “On” Voltage
θVB, TEMPERATURE COEFFICIENT (mV/ °C)
VCE , COLLECTOR-EMITTER VOLTAGE (VOLTS)
Figure 7. DC Current Gain
10 20
2.0
5.0
IC, COLLECTOR CURRENT (mA)
5.0
10
20
-1.0
-1.4
-1.8
θVB for VBE
-55°C to 125°C
-2.2
-2.6
-3.0
Figure 9. Collector Saturation Region
0.2
0.5
10 20
1.0 2.0
5.0
IC, COLLECTOR CURRENT (mA)
Figure 10. Base–Emitter Temperature Coefficient
BC546
fă,
T CURRENT-GAIN - BANDWIDTH PRODUCT
40
C, CAPACITANCE (pF)
TA = 25°C
20
Cib
10
6.0
Cob
4.0
2.0
0.1
0.2
0.5
1.0 2.0
10 20
5.0
VR, REVERSE VOLTAGE (VOLTS)
50
100
Figure 11. Capacitance
500
VCE = 5 V
TA = 25°C
200
100
50
20
1.0
5.0 10
50 100
IC, COLLECTOR CURRENT (mA)
Figure 12. Current–Gain – Bandwidth Product
www.mccsemi.com
Revision: 7
4 of 5
2008/11/05
TM
MCC
TM
Micro Commercial Components
Ordering Information
Device
Packing
(Part Number)-AP
Ammo Packing;2Kpcs/AmmoBox
(Part Number)-BP
Bulk;1Kpcs/Bag
***IMPORTANT NOTICE***
Micro Commercial Components Corp . reserves the right to make changes without further notice to any
product herein to make corrections, modifications , enhancements , improvements , or other changes .
Micro Commercial Components Corp . does not assume any liability arising out of the application or
use of any product described herein; neither does it convey any license under its patent rights ,nor
the rights of others . The user of products in such applications shall assume all risks of such use
and will agree to hold Micro Commercial Components Corp . and all the companies whose
products are represented on our website, harmless against all damages.
***APPLICATIONS DISCLAIMER***
Products offer by Micro Commercial Components Corp . are not intended for use in Medical,
Aerospace or Military Applications.
www.mccsemi.com
Revision: 7
5 of 5
2008/11/05
www.fairchildsemi.com
KA324/KA324A, KA2902
Quad Operational Amplifier
Features
Description
• Internally Frequency Compensated for Unity Gain
• Large DC Voltage Gain: 100dB
• Wide Power Supply Range:
KA324 / KA324A : 3V~32V (or ±1.5 ~ 16V)
KA2902: 3V~26V (or ±1.5V ~ 13V)
• Input Common Mode Voltage Range Includes Ground
• Large Output Voltage Swing: 0V to VCC -1.5V
• Power Drain Suitable for Battery Operation
The KA324 series consist of four independent, high gain,
internally frequency compensated operational amplifiers
which were designed specifically to operate from a single
power supply over a wide voltage range. Operation from
split power supplies is also possible so long as the difference between the two supplies is 3 volts to 32 volts. Application areas include transducer amplifier, DC gain blocks and
all the conventional OP Amp circuits which now can be easily implemented in single power supply systems.
14-DIP
1
14-SOP
1
Internal Block Diagram
14 OUT4
OUT1 1
IN1 (-)
2
IN1 (+) 3
1
_ +
+
4
_
13 IN4 (-)
12 IN4 (+)
VCC 4
11 GND
IN2 (+)
5
_ +
IN2 (-)
6
2
OUT2
7
+
_
10 IN3 (+)
3
9 IN3 (-)
8 OUT3
Rev. 1.0.8
©2012 Fairchild Semiconductor Corporation
KA324/KA324A, KA2902
Schematic Diagram
(One Section Only)
VCC
Q5
Q12
Q6
Q17
Q19
Q20
Q3
Q2
R1
C1
Q4
IN(-)
Q18
Q1
R2
IN(+)
Q11
OUTPUT
Q21
Q10
Q7
Q8
Q9
Q15
Q13
Q14
Q16
GND
Absolute Maximum Ratings
Parameter
Symbol
KA324/KA324A
KA2902
Unit
VCC
±16 or 32
±13 or 26
V
VI(DIFF)
32
26
V
Input Voltage
VI
-0.3 to +32
-0.3 to +26
V
Output Short Circuit to GND
Vcc≤15V, TA=25°C(One Amp)
-
Continuous
Continuous
-
PD
1310
640
1310
640
mW
Operating Temperature Range
TOPR
0 ~ +70
-40 ~ +85
°C
Storage Temperature Range
TSTG
-65 ~ +150
-65 ~ +150
°C
Power Supply Voltage
Differential Input Voltage
Power Dissipation, TA=25°C
14-DIP
14-SOP
Thermal Data
Parameter
Thermal Resistance Junction-Ambient Max.
14-DIP
14-SOP
2
Symbol
Value
Unit
Rθja
95
195
°C/W
KA324/KA324A, KA2902
Electrical Characteristics
(VCC = 5.0V, VEE = GND, TA = 25°C, unless otherwise specified)
Parameter
Symbol
Conditions
Input Offset Voltage
VIO
Input Offset Current
KA324
KA2902
Unit
Min.
Typ.
Max.
Min.
Typ.
Max.
VCM=0V to VCC -1.5V
VO(P) = 1.4V, RS = 0Ω
(Note1)
-
1.5
7.0
-
1.5
7.0
mV
IIO
VCM =0V
-
3.0
50
-
3.0
50
nA
Input Bias Current
IBIAS
VCM =0V
-
40
250
-
40
250
nA
Input Common Mode
Voltage Range
VI(R)
Note1
0
-
VCC
-1.5
0
-
VCC
-1.5
V
ICC
RL = ∞,VCC = 30V
(KA2902, VCC=26V)
-
1.0
3
-
1.0
3
mA
RL = ∞,VCC = 5V
-
0.7
1.2
-
0.7
1.2
mA
25
100
-
25
100
-
V/mV
RL = 2kΩ
26
-
-
22
-
-
V
RL =10kΩ
27
28
-
23
24
-
V
-
5
20
-
5
100
mV
Supply Current
Large Signal
Voltage Gain
Output Voltage Swing
GV
VCC = 15V, RL=2kΩ
VO(P) = 1V to 11V
VO(H)
Note1
VO(L)
VCC = 5V, RL=10kΩ
Common-Mode
Rejection Ratio
CMRR
-
65
75
-
50
75
-
dB
Power Supply
Rejection Ratio
PSRR
-
65
100
-
50
100
-
dB
Channel Separation
CS
f = 1kHz to 20kHz
(Note2)
-
120
-
-
120
-
dB
Short Circuit to GND
ISC
VCC = 15V
-
40
60
-
40
60
mA
VI(+) = 1V, VI(-) = 0V
VCC = 15V, VO(P) = 2V
20
40
-
20
40
-
mA
VI(+) = 0V, VI(-) = 1V
VCC = 15V
VO(P) = 2V
10
13
-
10
13
-
mA
VI(+) = 0V, VI(-) = 1V
VCC = 15V
VO(R) = 200mV
12
45
-
-
-
-
μA
-
-
VCC
-
-
VCC
V
ISOURCE
Output Current
ISINK
Differential Input Voltage
VI(DIFF)
-
Note:
1. VCC=30V for KA324, VCC = 26V for KA2902
2. This parameter, although guaranteed, is not 100% tested in production.
3
KA324/KA324A, KA2902
Electrical Characteristics (Continued)
(VCC = 5.0V, VEE = GND, unless otherwise specified)
The following specification apply over the range of 0°C ≤ TA ≤ +70°C for the KA324 ; and the -40°C ≤ TA ≤ +85°C
for the KA2902
Parameter
Input Offset Voltage
Input Offset Voltage Drift
Input Offset Current
Input Offset Current Drift
KA324
Conditions
VIO
VICM = 0V to VCC -1.5V
VO(P) = 1.4V, RS = 0Ω
(Note1)
-
-
9.0
-
-
10.0
mV
RS = 0Ω (Note2)
-
7.0
-
-
7.0
-
μV/ °C
VCM = 0V
-
-
150
-
-
200
nA
RS = 0Ω (Note2)
-
10
-
-
10
-
pA/ °C
ΔVIO/ΔT
IIO
ΔIIO/ΔT
Min.
Typ. Max. Min. Typ. Max.
Unit
Input Bias Current
IBIAS
VCM = 0V
-
-
500
-
-
500
nA
Input Common Mode
Voltage Range
VI(R)
Note1
0
-
VCC
-2.0
0
-
VCC
-2.0
V
VCC = 15V, RL = 2.0kΩ
VO(P) = 1V to 11V
15
-
-
15
-
-
V/mV
RL = 2kΩ
26
-
-
22
-
-
V
RL = 10kΩ
27
28
-
23
24
-
V
-
5
20
-
5
100
mV
Large Signal Voltage Gain
Output Voltage Swing
GV
VO(H)
VO(L)
Differential Input Voltage
Note1
VCC = 5V, RL= 10kΩ
ISOURCE
VI(+) = 1V, VI(-) = 0V
VCC = 15V, VO(P) = 2V
10
20
-
10
20
-
mA
ISINK
VI(+) = 0V, VI(-) = 1V
VCC = 15V, VO(P) = 2V
5
8
-
5
8
-
mA
VI(DIFF)
-
-
-
VCC
-
-
VCC
V
Output Current
Note:
1. VCC=30V for KA324, VCC = 26V for KA2902
2. These parameters, although guaranteed, are not 100% tested in production.
4
KA2902
Symbol
KA324/KA324A, KA2902
Electrical Characteristics (Continued)
(VCC = 5.0V, VEE = GND, TA=25°C, unless otherwise specified)
Parameter
Symbol
Conditions
KA324A
Min.
Typ.
Max.
Unit
Input Offset Voltage
VIO
VCM = 0V to VCC -1.5V
VO(P) = 1.4V, RS = 0Ω
(Note1)
-
1.5
3.0
mV
Input Offset Current
IIO
VCM = 0V
-
3.0
30
nA
Input Bias Current
IBIAS
VCM = 0V
-
40
100
nA
Input Common-Mode
Voltage Range
VI(R)
Note1
0
-
VCC
-1.5
V
Supply Current
ICC
VCC = 30V, RL = ∞
-
1.5
3
mA
VCC = 5V, RL = ∞
-
0.7
1.2
mA
Large Signal Voltage Gain
GV
VCC = 15V, RL= 2kΩ
VO(P) = 1V to 11V
25
100
-
V/mV
RL = 2kΩ
26
-
-
V
RL = 10kΩ
27
28
-
V
-
5
20
mV
Output Voltage Swing
VO(H)
Note1
VO(L)
VCC = 5V, RL= 10kΩ
Common-Mode Rejection Ratio
CMRR
-
65
85
-
dB
Power Supply Rejection Ratio
PSRR
-
65
100
-
dB
Channel Separation
CS
f = 1kHz to 20kHz (Note2)
-
120
-
dB
Short Circuit to GND
ISC
VCC = 15V
-
40
60
mA
VI(+) = 1V, VI(-) = 0V
VCC = 15V, VO(P) = 2V
20
40
-
mA
VI(+) = 0V, VI(-) = 1V
VCC = 15V, VO(P) = 2V
10
20
-
mA
VI(+) = 0V, VI(-) = 1V
VCC = 15V, VO(P) = 200mV
12
50
-
μA
-
-
-
VCC
V
ISOURCE
Output Current
ISINK
Differential Input Voltage
VI(DIFF)
Note:
1. VCC=30V for KA324A
2. This parameter, although guaranteed, is not 100% tested in production.
5
KA324/KA324A, KA2902
Electrical Characteristics (Continued)
(VCC = 5.0V, VEE = GND, unless otherwise specified)
The following specification apply over the range of 0°C ≤ TA ≤ +70°C for the KA324A
Parameter
Input Offset Voltage
Input Offset Voltage Drift
Input Offset Current
Input Offset Current Drift
Symbol
VIO
ΔVIO/ΔT
IIO
ΔIIO/ΔT
Conditions
Unit
Min.
Typ.
Max.
VCM = 0V to VCC -1.5V
VO(P) = 1.4V, RS = 0Ω
(Note1)
-
-
5.0
mV
RS = 0Ω (Note2)
-
7.0
30
μV/°C
VCM = 0V
-
-
75
nA
RS = 0Ω (Note2)
-
10
300
pA/°C
Input Bias Current
IBIAS
VCM = 0V
-
40
200
nA
Input Common-Mode
Voltage Range
VI(R)
Note1
0
-
VCC
-2.0
V
VCC = 15V, RL= 2.0kΩ
15
-
-
V/mV
RL = 2kΩ
26
-
-
V
RL = 10kΩ
27
28
-
V
-
5
20
mV
Large Signal Voltage Gain
Output Voltage Swing
GV
VO(H)
Note1
VO(L)
VCC = 5V, RL= 10kΩ
ISOURCE
VI(+) = 1V, VI(-) = 0V
VCC = 15V, VO(P) = 2V
10
20
-
mV
ISINK
VI(+) = 0V, VI(-) = 1V
VCC = 15V, VO(P) = 2V
5
8
-
mA
-
-
VCC
V
Output Current
Differential Input Voltage
VI(DIFF)
-
Note:
1. VCC=30V for KA324A.
2. These parameters, although guaranteed, are not 100% tested in production.
6
KA324A
KA324/KA324A, KA2902
Typical Performance Characteristics
Supply Voltage(v)
Figure 1. Input Voltage Range vs Supply Voltage
Supply Voltage (V)
Figure 3. Supply Current vs Supply Voltage
Frequency (Hz)
Figure 5. Open Loop Frequency Response
Temperature Tj ( °C)
Figure 2. Input Current vs Temperature
Supply Voltage (V)
Figure 4. Voltage Gain vs Supply Voltage
Frequency (Hz)
Figure 6. Common mode Rejection Ratio
7
KA324/KA324A, KA2902
Typical Performance Characteristics (Continued)
8
Figure 7. Voltage Follower Pulse Response
Figure 8. Voltage Follower Pulse Response
(Small Signal)
Figure 9. Large Signal Frequency Response
Figure 10. Output Characteristics vs Current Sourcing
Figure 11. Output Characteristics vs Current Sinking
Figure 12. Current Limiting vs Temperature
KA324/KA324A, KA2902
Mechanical Dimensions
Package
Dimensions in millimeters
2.08
)
0.082
14-DIP
7.62
0.300
3.25 ±0.20
0.128 ±0.008
5.08
MAX
0.200
1.50 ±0.10
0.059 ±0.004
#8
2.54
0.100
#7
19.40 ±0.20
0.764 ±0.008
#14
19.80
MAX
0.780
#1
0.46 ±0.10
0.018 ±0.004
(
6.40 ±0.20
0.252 ±0.008
0.20
0.008 MIN
3.30 ±0.30
0.130 ±0.012
+0.10
0.25 –0.05
0~15°
+0.004
0.010 –0.002
9
KA324/KA324A, KA2902
Mechanical Dimensions (Continued)
Package
Dimensions in millimeters
14-SOP
$
%
3,1,'
7239,(:
(
0$;
&+$0)(5237,21$/
&
$
(
&
$///($'7,36
0
(
& $ %
(1'9,(:
6,'(9,(:
*$8*(
3/$1(
127(681/(6627+(5:,6(63(&,),('
$7+,63$&.$*(5()(5(1&(72-('(&06
9$5,$7,21$%
%$//',0(16,216$5(,10,//,0(7(56
&',0(16,216$5((;&/86,9(2)%8556
02/')/$6+$1'7,(%$5(;7586,216
'',0(16,216$1'72/(5$1&(6$63(5$60(
<
( 2872)-('(&67$1'$5'9$/8(
)/$1'3$77(5167$1'$5'62,&3;0
*),/(1$0(0.70&5(9
10
6($7,1*
3/$1(
aƒ
aƒ
'(7$,/$
6&$/(
KA324/KA324A, KA2902
Ordering Information
Product Number
KA324
KA324A
KA324D
KA324AD
Package
Operating Temperature
14-DIP
0 ~ +70°C
14-SOP
KA2902
14-DIP
KA2902D
14-SOP
-40 ~ +85°C
11
KA324/KA324A, KA2902
DISCLAIMER
FAIRCHILD SEMICONDUCTOR RESERVES THE RIGHT TO MAKE CHANGES WITHOUT FURTHER NOTICE TO ANY
PRODUCTS HEREIN TO IMPROVE RELIABILITY, FUNCTION OR DESIGN. FAIRCHILD DOES NOT ASSUME ANY
LIABILITY ARISING OUT OF THE APPLICATION OR USE OF ANY PRODUCT OR CIRCUIT DESCRIBED HEREIN; NEITHER
DOES IT CONVEY ANY LICENSE UNDER ITS PATENT RIGHTS, NOR THE RIGHTS OF OTHERS.
LIFE SUPPORT POLICY
FAIRCHILD’S PRODUCTS ARE NOT AUTHORIZED FOR USE AS CRITICAL COMPONENTS IN LIFE SUPPORT DEVICES
OR SYSTEMS WITHOUT THE EXPRESS WRITTEN APPROVAL OF THE PRESIDENT OF FAIRCHILD SEMICONDUCTOR
CORPORATION. As used herein:
1. Life support devices or systems are devices or systems
which, (a) are intended for surgical implant into the body,
or (b) support or sustain life, and (c) whose failure to
perform when properly used in accordance with
instructions for use provided in the labeling, can be
reasonably expected to result in a significant injury of the
user.
2. A critical component in any component of a life support
device or system whose failure to perform can be
reasonably expected to cause the failure of the life support
device or system, or to affect its safety or effectiveness.
www.fairchildsemi.com
4/19/12 0.0m 001
Stock#DS400080
© 2012 Fairchild Semiconductor Corporation
5/10/07
15:39
Page 62
Text
PCB Power Relay - G6DS
Text Power Relay – G6D
PCB
Dimensions
Slim, Miniature Relay with 1-pole 5A Switching Capability
Note: 1. All units are in millimetres unless otherwise indicated.
2. Orientation marks are indicated as follows:
G6D-1A-ASI
■ Slim 5-mm width and miniature size.
Terminal Arrangement/
Internal Connections
(Bottom View)
6.5 max.
17.5 max.
(17.3)*
0.5
(6.4)*
(21.3 x 5.08 x 12.5 mm max.)
Mounting Holes
(Bottom View)
Tolerance: ±0.1
12.5 max.
(12.4)*
(1.13) Four, 1.1-dia.
holes
■ Ideal for high-density mounting.
■ Delivers high switching performance (5 A at
2.54
250 VAC/30 VDC) and enables various loads
all in a slim, miniature size.
(0.71)
3.5
0.5
2.54
0.8
7.62.
5.08
1
5
7
■ Highly sensitive coil type (120 mW) also
5.08
0.3
2.54
5.08
available.
13
*Average value
P6D-04P Socket
Power Relays
Omron 08 Cat 1-302
15.24
19.7 max.
(19.5)*
(measuring instrument/control equipment)
reinforced insulation requirement.
Mounting Holes
(Bottom View)
Tolerance: ±0.1
6.9 max.
(6.7)*
Four, 1.1-dia.
(2.18) holes
■ Satisfies EN 61131-2 (PLC) and EN 61010
■ Special socket also added to the series.
2.54
Applications:
PLCs, I/O modules, I/O ports, Timers,
Temperature Controllers, and Control Boards.
(0.86)
10.8
RoHS Compliant
6+0.1
3.6
5.08
2.54
0.65
2.54
0.3
76.2 5.08
Ordering Information
15.24
Classification
Standard
High-sensitivity
*Average value
Contact form
SPST-NO
Enclosure ratings
Fully sealed
Model
G6DS-1A
G6DS-1A-H
Note: When ordering, add the rated coil voltage to the model number.
Example: G6DS-1A 12 VDC
Precautions
Rated coil voltage
More than two relays can be closely mounted right side up as
shown in the following illustration.
SOCKET MOUNTING HEIGHT
-
G6DS1
2
VDC
3
4
1. Number of Poles
1: 1 pole
6.5 mm
18.5 mm max.
Current flow:
5 A max.
More than two relays can be closely mounted upside down as
shown in the following illustration.
7.62 mm in the
upside-down
direction
When mounting the relay, insert it into the socket as vertically as
possible so that the relay terminals contact securely with the
contact pins on the socket.
The P6D is flux-resistive. Do not wash the P6D with water.
Dismount the relay from the socket before soldering the socket to
a PCB.
2. Contact Form
A: SPST-NO
■
3. Classification
None: Standard
H:
High-sensitivity
4. Rated Coil Voltage
5, 12, 24 VDC
Accessories (Order Separately)
Connecting Socket
Relay Pullout Tool
■
P6DS-04P
R99-01 for G6DS
Coil Ratings
Item
Current flow:
2 A max.
1.12 mm
Note: The space between each relay required for heat radiation
may vary with operating conditions. Contact your OMRON
representative for details
Standard
High-sensitivity
Rated voltage
5 VDC
12 VDC
24 VDC
5 VDC
12 VDC
Rated current
36 mA
15 mA
7.5 mA
24 mA
10 mA
5 mA
Coil resistance
139Ω
800Ω
3,200Ω
208Ω
1,200Ω
4,800Ω
Must operate voltage
70% max. of rated voltage
Must release voltage
5% min. of rated voltage
Max. voltage
160% of rated voltage (at 23˚C)
Power consumption
Approx. 180 mW
24 VDC
Approx. 120 mW
Note: 1. The rated current and coil resistance are measured at a coil temperature of 23˚C with a tolerance of ±10%.
2. Operating characteristics are measured at a coil temperature of 23˚C.
ALL DIMENSIONS SHOWN ARE IN MILLIMETRES.
To convert millimetres into inches, multiply by 0.03937. To convert grams into ounces, multiply by 0.03527.
3. “Max. voltage” refers to the maximum voltage that can be applied to the relay coil. It is not the maximum voltage that can
be applied continuously.
CAT. No. K127-E2-02A-X
62
63
15:39
Page 64
PCB
Text Power Relay - G6DS
Text
PCB Power Relay - G6DS
■
Item
Resistive load (cosϕ=1)
Rated load
5 A at 250 VAC, 5 A at 30 VDC
Contact Material
AgNi
Inductive load (cosϕ=0.4, L/R=7 ms)
2 A at 250 VAC, 2 A at 30 VDC
UL 508 (File No. E41515)/CSA C22.2 No.14 (File No. LR31928)
Model
Rated carry current
5A
Max. switching voltage
250 VAC, 30 VDC
Contact form
G6DS-1A
5A
Max. switching power
1,250 VA, 150 W
Failure rate (reference value) (See note.)
5 mA at 24 VDC
5 A, 250 VAC (Resistive & General Use)
VDE (EN61810-1) (License No. B161)
Model
100 mΩ max.
Contact form
G6DS-1A
10 ms max.
Release time
5 ms max.
Insulation resistance (See note 2.)
1,000 MΩ min. (at 500 VDC)
Dielectric strength
3,000 VAC, 50/60 Hz for 1 min between coil and contacts
750 VAC, 50/60 Hz for 1 min between contacts of same polarity
Impulse withstand voltage
6,000 V (1.2 x 50 µs) between coil and contacts
Insulation
Distance
Creepage (Typ)
6.4mm
Clearance (Typ)
5.2mm
5 A, 250 VAC (cosφ=1.0)
Dimensions
Note: All units are in millimetres unless otherwise indicated.
Terminal Arrangement/
Internal Connections
(Bottom View)
G6DS-1A/1A-H
10 to 55 to 10 Hz, 0.75-mm single amplitude (1.5-mm double amplitude)
10 to 55 to 10 Hz, 0.75-mm single amplitude (1.5-mm double amplitude)
Shock resistance
Destruction:
Malfunction:
1,000 m/s2
150 m/s2 (standard type). 130 m/s2 (high-sensitivity type)
Endurance
Mechanical:
Electrical:
20,000,000 operations min. (at 18,000 operations/hr)
100,000 operations min. (at 1,800 operations/hr) for standard type.
80,000 operations min. (at 1,800 operations/hr) for high-sensitivity type. (at 23ΩC)
Operating: 5% to 85%
Weight
Approx. 2.3 g
5.08 max.
20
(1.11)
0.5
100
250-VAC/30-VDC resistive load
50
Standard type
30
High-sensitivity type
10
P6DS-04P
160
22.5 max.
140
120
Mounting Holes
(Bottom View)
17.78±0.05
80
250 500 1,000
0
1
2
3
90
80
max.
min.
60
5
6
7
8
9
60
0
10
Switching current (A)
Energized
Not energized
23 30
40
50
60
70
2.54±0.05
80 85 90
Ambient temperature (°C)
Note: The maximum coil voltage is the maximum
voltage that can be applied to the relay coil.
Malfunctioning Shock
G6DS-1A
X
Four, 1.1 dia.
Y
(2.31)
600
Z
400
0
2.54
40
30
Z'
20
max.
ave.
min.
10
0
−60
0.6
0.3
200
50
−40
−20
0
20
40
60
80
100
Ambient temperature (°C)
(2.31)
1000
800
ave.
70
4
2.54±0.05
100
X'
Y'
Units: m/s2
5.08
Shock direction
X
X'
Y
Z
Z'
Y'
10.16
(1.4)
30
14.8 max.
10
0
6.1 −0.1
5
(1.46)
12.7±0.05
3
Ambient Temperature vs.
Operating/Recovery Voltage
G6DS-1A
Ratio of rated voltage (%)
Connecting Socket
180
0.6
(cosφ=0.4/ L/R=7 ms)
3
Switching voltage (V)
64
200
0.3
ur,
Fo dia.
1.1
100
5 250-VAC/30 VDC inductive load
0.3
0.1
0
500
300
0.8
5.55 max.
AC inductive
load
cosφ=0.4
DC inductive
load
Ambient Temperature vs.
Maximum Coil Voltage
Maximum coil voltage (%)
Switching current (A)
DC resistive
load
Endurance
Endurance (x104 operations)
0.3
AC resistive load
1
0.3
(1.11)
(1.2)
0.3
Engineering Data
3
5.08±0.1
10.16±0.1
2.54±0.1
Note: The data shown above are initial values.
1. The contact resistance is possible with 1 A applied at 5 VDC using a fall-of-potential method.
2. The insulation resistance is possible between coil and contacts and between contacts of the same polarity at 500 VDC.
5
Mounting Holes
(Bottom View)
(1.26)
Operating: -40˚C to 85˚C (with no icing)
Ambient humidity
21.3 max.
2.54±0.1
Ambient temperature
30
5 A, 250 VAC (cosφ=1.0)
5 A, 30 VDC (0 ms)
Vibration resistance Destruction:
Malfunction:
50
Contact ratings
5, 12, 24 VDC
5 A, 30 VDC (0 ms)
175V
Maximum Switching Power
Coil ratings
SPST-NO
G6DS-1A-H
Tracking Resistance (CTI)
10
5 A, 250 VAC (Resistive & General Use)
5 A, 30 VDC (Resistive & General Use)
Operate time
■
Contact ratings
5 to 24 VDC
G6DS-1A-H
Characteristics
Contact resistance (See note 1.)
Coil ratings
SPST-NO
5 A, 30 VDC (Resistive & General Use)
Max. switching current
Note: P level: λ60 = 0.1 x 10-6 operation
■
Approved Standards
• The rated values approved by each of the safety standards may be different from the performance characteristics individually
defined in this catalog.
Power Relays
Contact Ratings
3.65
■
3.3
5/10/07
12.5 max.
Omron 08 Cat 1-302
Coil terminals
Measurement conditions: Impose a shock in the ±X, ±Y, and
±Z directions three times each with the Relay energized to
check the shock values that cause the Relay to malfunction.
Relay Pullout Tool
R99-01 for G6DS
A convenient removal pullout tool (R99-01 for G6DS) is available to pull Relays out of special sockets mounted closely side by side.
65
15:39
Page 64
PCB
Text Power Relay - G6DS
Text
PCB Power Relay - G6DS
■
Item
Resistive load (cosϕ=1)
Rated load
5 A at 250 VAC, 5 A at 30 VDC
Contact Material
AgNi
Inductive load (cosϕ=0.4, L/R=7 ms)
2 A at 250 VAC, 2 A at 30 VDC
UL 508 (File No. E41515)/CSA C22.2 No.14 (File No. LR31928)
Model
Rated carry current
5A
Max. switching voltage
250 VAC, 30 VDC
Contact form
G6DS-1A
5A
Max. switching power
1,250 VA, 150 W
Failure rate (reference value) (See note.)
5 mA at 24 VDC
5 A, 250 VAC (Resistive & General Use)
VDE (EN61810-1) (License No. B161)
Model
100 mΩ max.
Contact form
G6DS-1A
10 ms max.
Release time
5 ms max.
Insulation resistance (See note 2.)
1,000 MΩ min. (at 500 VDC)
Dielectric strength
3,000 VAC, 50/60 Hz for 1 min between coil and contacts
750 VAC, 50/60 Hz for 1 min between contacts of same polarity
Impulse withstand voltage
6,000 V (1.2 x 50 µs) between coil and contacts
Insulation
Distance
Creepage (Typ)
6.4mm
Clearance (Typ)
5.2mm
5 A, 250 VAC (cosφ=1.0)
Dimensions
Note: All units are in millimetres unless otherwise indicated.
Terminal Arrangement/
Internal Connections
(Bottom View)
G6DS-1A/1A-H
10 to 55 to 10 Hz, 0.75-mm single amplitude (1.5-mm double amplitude)
10 to 55 to 10 Hz, 0.75-mm single amplitude (1.5-mm double amplitude)
Shock resistance
Destruction:
Malfunction:
1,000 m/s2
150 m/s2 (standard type). 130 m/s2 (high-sensitivity type)
Endurance
Mechanical:
Electrical:
20,000,000 operations min. (at 18,000 operations/hr)
100,000 operations min. (at 1,800 operations/hr) for standard type.
80,000 operations min. (at 1,800 operations/hr) for high-sensitivity type. (at 23ΩC)
Operating: 5% to 85%
Weight
Approx. 2.3 g
5.08 max.
20
(1.11)
0.5
100
250-VAC/30-VDC resistive load
50
Standard type
30
High-sensitivity type
10
P6DS-04P
160
22.5 max.
140
120
Mounting Holes
(Bottom View)
17.78±0.05
80
250 500 1,000
0
1
2
3
90
80
max.
min.
60
5
6
7
8
9
60
0
10
Switching current (A)
Energized
Not energized
23 30
40
50
60
70
2.54±0.05
80 85 90
Ambient temperature (°C)
Note: The maximum coil voltage is the maximum
voltage that can be applied to the relay coil.
Malfunctioning Shock
G6DS-1A
X
Four, 1.1 dia.
Y
(2.31)
600
Z
400
0
2.54
40
30
Z'
20
max.
ave.
min.
10
0
−60
0.6
0.3
200
50
−40
−20
0
20
40
60
80
100
Ambient temperature (°C)
(2.31)
1000
800
ave.
70
4
2.54±0.05
100
X'
Y'
Units: m/s2
5.08
Shock direction
X
X'
Y
Z
Z'
Y'
10.16
(1.4)
30
14.8 max.
10
0
6.1 −0.1
5
(1.46)
12.7±0.05
3
Ambient Temperature vs.
Operating/Recovery Voltage
G6DS-1A
Ratio of rated voltage (%)
Connecting Socket
180
0.6
(cosφ=0.4/ L/R=7 ms)
3
Switching voltage (V)
64
200
0.3
ur,
Fo dia.
1.1
100
5 250-VAC/30 VDC inductive load
0.3
0.1
0
500
300
0.8
5.55 max.
AC inductive
load
cosφ=0.4
DC inductive
load
Ambient Temperature vs.
Maximum Coil Voltage
Maximum coil voltage (%)
Switching current (A)
DC resistive
load
Endurance
Endurance (x104 operations)
0.3
AC resistive load
1
0.3
(1.11)
(1.2)
0.3
Engineering Data
3
5.08±0.1
10.16±0.1
2.54±0.1
Note: The data shown above are initial values.
1. The contact resistance is possible with 1 A applied at 5 VDC using a fall-of-potential method.
2. The insulation resistance is possible between coil and contacts and between contacts of the same polarity at 500 VDC.
5
Mounting Holes
(Bottom View)
(1.26)
Operating: -40˚C to 85˚C (with no icing)
Ambient humidity
21.3 max.
2.54±0.1
Ambient temperature
30
5 A, 250 VAC (cosφ=1.0)
5 A, 30 VDC (0 ms)
Vibration resistance Destruction:
Malfunction:
50
Contact ratings
5, 12, 24 VDC
5 A, 30 VDC (0 ms)
175V
Maximum Switching Power
Coil ratings
SPST-NO
G6DS-1A-H
Tracking Resistance (CTI)
10
5 A, 250 VAC (Resistive & General Use)
5 A, 30 VDC (Resistive & General Use)
Operate time
■
Contact ratings
5 to 24 VDC
G6DS-1A-H
Characteristics
Contact resistance (See note 1.)
Coil ratings
SPST-NO
5 A, 30 VDC (Resistive & General Use)
Max. switching current
Note: P level: λ60 = 0.1 x 10-6 operation
■
Approved Standards
• The rated values approved by each of the safety standards may be different from the performance characteristics individually
defined in this catalog.
Power Relays
Contact Ratings
3.65
■
3.3
5/10/07
12.5 max.
Omron 08 Cat 1-302
Coil terminals
Measurement conditions: Impose a shock in the ±X, ±Y, and
±Z directions three times each with the Relay energized to
check the shock values that cause the Relay to malfunction.
Relay Pullout Tool
R99-01 for G6DS
A convenient removal pullout tool (R99-01 for G6DS) is available to pull Relays out of special sockets mounted closely side by side.
65
5/10/07
15:39
Page 66
PCB
Text Power Relay - G6DS
Text Power Relay-G6B
PCB
Relay – G6B
Packing
■
Sub-miniature Relay that Switches
up to 5 A
Stick packing
Power Relays
Omron 08 Cat 1-302
■ ROHS compliant.
1 stick = 25 Relays
1 packing case = 20 sticks (500 Relays)
1 carton box = 6 packing cases (3,000 Relays)
■ Sub-miniature: 20 x 10 x 10 mm (L x W x H).
■ Low power consumption: 200 mW.
■ Unique moving loop armature reduces relay
Precautions
size, magnetic interference, and contact
bounce time.
More than two Relays can be closely mounted right side up as
shown in the following illustration. (This applies to the P6DS as
well.)
■ Single- and double-winding latching types
also available.
18.5 mm max.
Ordering Information
Classification
Single-side stable
More than two Relays can be closely mounted upside down as
shown in the following illustration.
Note: The space between Relays required for heat radiation may
vary with operating conditions. Contact your OMRON
representative for details.
Contact form
Straight PCB
Self-clinching PCB
SPST-NO
G6B-1114P-US
G6B-1114C-US
SPST-NO+SPST-NC
G6B-2114P-US
G6B-2114C-US
DPST-NO
G6B-2214P-US
G6B-2214C-US
DPST-NC
G6B-2014P-US
G6B-2014C-US
G6BU-1114C-US
When mounting the Relay, insert it into the Socket as vertically
as possible so that the Relay terminals contact securely with the
contact pins on the Socket.
Single-winding latching
SPST-NO
G6BU-1114P-US
The P6DS is flux-resistive. Do not wash the P6DS with water.
Dismount the Relay from the Socket before soldering the Socket
to a PCB.
Double-winding latching
SPST-NO
G6BK-1114P-US
G6BK-1114C-US
High-capacity single-side stable SPST-NO
G6B-1174P-US
G6B-1174C-US
Disclaimer:
All technical performance data applies to the product as such;
specific conditions of individual applications are not considered.
Always check the suitability of the product for your intended
purpose. OMRON does not assume any responsibility or liability
for noncompliance herein, and we recommend prior technical
clarification for applications where requirements, loading, or
ambient conditions differ from those applying to general electric
applications. Any responsibility for the application of the product
remains with the customer alone. THIS COMPONENT CAN NOT
BE USED FOR AUTOMOTIVE APPLICATIONS.
Note: When ordering, add the rated coil voltage to the model number.
Example: G5NB-1A-E 12 VDC
Rated coil voltage
Model Number Legend
■
Accessories (Order Separately)
Back Connecting Sockets
Applicable relay
ALL DIMENSIONS SHOWN ARE IN MILLIMETRES.
To convert millimetres into inches, multiply by 0.03937. To convert grams into ounces, multiply by 0.03527.
Back connecting socket*
G6B(U)-1114P-US-P6B
P6B-04P
G6BK-1114P-US-P6B
P6B-06P
G6B-2114P-US-P6B
P6B-26P
G6B-1174P-US-P6B
P6B-04P
Removal Tool
P6B-Y1
Hold-down Clips
P6B-C2
*Not applicable to the self-clinching type.
Use the G6B- ■ ■ ■ ■ P-US-P6B to mount to a P6B socket.
CAT. No. K130-E2-02A-X
66
67
Arbeidslogg
Fredag
21.9.12 (38)
1200-1400
Thomas og Erlend: 2t
Kommentar:
Funne fram modell, skreve prosjektbeskrivelse, laga mellombels framdriftsplan.
Tysdag
25.9.12 (39)
1600-2000
Thomas og Erlend: 4t
Kommentar:
Våre på biltema og kjøpt o-ring sett. Henta verktøy. Limt på sandpapir strimmel for å lage meir
friksjon til hjulene på cat. Bytta ut o-ringene på modellen. Testa motorane og elektromagneten.
Motorene går på 12v, men 5v verkar som ein bra hastigheit. Det er også mulig sensorene kan ha
problem med å telle på maks hastighet. Målt ut med multimeter og laga til koblingsoversikt for
motorene, og laga tabell med oversikt. Prøvd å finne ut av sensorane, men kun synsing hittil. Prøvd å
sette på 0,7v på sensor uten respons (lys).
Onsdag
26.9.12 (39)
1500-1700
Thomas og Erlend: 2t
Kommentar:
Teikna opp traversen sitt kretskort på tavla, målt oss fram til korleis den er oppbygd. Kom fram til at
sensorane mest sannsynleg er bygd opp av to diodar som lyser og leser infrarødt lys. Simulert kretsen
i multi Sim, og tok sjansen på å kople til 12v på «klemmene» på kretskortet, som gir ca. 1,79V på
dioden. Me sjekka om det lyste med kamera på mobilen sidan det går ikkje an og sjå IR med det
blotte auge, og fekk bekrefta at dette virka. Me såg på thanderen at dei til saman trekker 33mA. Ført
inn resultata på funksjonsbeskrivelsen me har laga i Excel og tok bilete av teikninga på tavla.
Torsdag
04.10.12 (40)
1200-1700
Erlend og Thomas: 5t
Kommentar:
Var på Elektroskandia og fekk to stk. doble datakontakter so skal brukast som grensesnitt mellom
kran og koblingsbrett. Kappa til ei blekkplate på enden som me skal montere datakontaktene på
innsida av, og alterakort + printkort med relè osv. Laga ei lita skisse av korleis motorane skal koblast
med relè. Sett etter relè på elfa sine nettsider. Fant ut at me skal bruke «2-polte» relè. Fått tak i
datakabel som me plinta i datakontakten og merka. Kobla opp traversen til prototypekort og prøvde
å måle signal ut frå lysdioden ved hjelp av skop. Me fekk eit lite signal (frå 11,98 til 12,09 volt ca.)
Men me oppdaga og at det var veldig mykje støy i start- og stoppøye-blikket til motoren. Det spørs
om ikkje me må ha motor-koplingane i separate kablar med skjerm for å forhindre støy.
Fredag
Dato 05.10.12 (40)
1300-1500
Thomas og Erlend: 2t
Kommentar:
Tok en gjennomgang sammen med faglærer. Vi prøvde en rekke ganger å få gode måleresultater av
utgangssignalet ved hjelp av oscilloskop. Dette endte opp med at vi klarte å måle forskjellen i
utgangssignalet, men signalene var så små at de blir vanskelig å bruke. Vi har også kommet frem til at
vi kanskje må modifisere litt på «telle-tapen» som diodene bruker til å telle med. Her tenker vi å
legge tusje mellom annethvert trinn, slik at dioden ikke sender ut så mange pulser. Denne gangen
målte vi mellom jord og ut. Neste gang tenker vi å måle mellom pluss 12 og utgangen i «optokobleren». Da kan det være vi får verdier som er lettere å jobbe med. Vi vil også prøve å måle
strømmen ut istedenfor spenning neste gang.
Onsdag
Dato 17.10.12 (42)
1730-1900
Erlend: 1,5t
Kommentar:
Kopla opp kranen på eitt prototypebrett, kopla det slik at det skulle bli enklare å skifte dreieretning
på motor, og for å få lest av måleresultat frå dei forskjellige diodane. Tanken var at me skulle
fortsette å bruke dette brettet slik at me slepp å kople opp og ned kvar gong. Prøvde først å måle
spenning på nytt med skop, fekk ikkje dette til fordi skopet var for avansert, så tok berre og målte
med måleinstrument, type “Escort”. Eg målte på endestopp dioden. Når dioden er aktiv blir den
reflektert. Målte først spenning mellom utgangen på “Opto-koplaren” og jord slik me gjorde sist
gong. Målte då 11,98V når dioden var inaktiv (fri) og 12,08V når den var aktiv (stopp) Etterpå testa eg
å kople svart måleleiar på +12V i staden for jord, dette ga betre måleresultat: 0,16V når dioden var
inaktiv(fri) og -0,016V når dioden var aktiv (stopp) som tilseier ein forskjell på 0,25V, som er over
dobbelt så mykje som det var når me målte mellom beinet og jord. Etterpå målte eg strøm i staden
for spenning, dette verka som den beste metoden, det var berre mellom beinet på dioden(svart) og
jord(raud) eg fekk måleresultat, og ikkje når eg kopla mellom raudmålepinne på beinet og svart på
+12V. Når dioden var inaktiv(fri) viste den 0,006mA, og når dioden var aktiv(stopp) viste den opp til
0,408mA. Eg testa dette på telle-dioden som me hadde problem med tidligare, og den ga minimum
strøm på ca. 0,08-0,13mA og maksimum 0,13-0,33mA. dersom eg kjørte traversmotoren så seint så
mulig (ca. 2,5V) gjekk det fint å telle med multimeteret, så dette burde fungere med ein transistor
eller liknande.
Torsdag
18.10.12 (42)
1500-1700
Erlend & Thomas: 2t
Kommentar:
Kobla opp strømmåling på optokobler med fleire multimeter, simulerte kretsen i multisim med
transistorkobling, prøvde å finne ut av kva motstandar osv me skal bruke. Kom fram til noko som
funka i multisim, men da gjekk ikkje i praksis, so me for heim.
Fredag
19.10.12 (42)
1500-1630
Erlend & Thomas: 1,5t
Kommentar:
Fant fram teikningane og kom fram til at dei sikkert hadde eit godt utgangspunkt til forsterkar til
signala fra optokobleren. Scanna teikningane ein gang til med høg oppløsning, simulerte kretsen i
multisim med relèkobling, fungerte bra. Skal teste i måro viss me finner relè og opamp som passer.
Laurdag
20.10.12 (42)
1500-1700
Erlend & Thomas: 2t
Kommentar:
Prøvde å kople opp kretsen slik som i teikninga, fekk det ikkje til. Svidde ein transistor.
Måndag
22.10.12 (43)
1430-1700
Erlend & Thomas: 2,5t
Kommentar:
Kopla opp kretsen slik som i teikninga, fekk til både endestoppane(relè) og teller (opamp) kretsen.
Me hadde kobla feil tidligare. Teller via opamp sender ut eit fint signal med lite støy, sjølv om
motoren går fort. I måro skal me begynne å lodde komponenta til kretskort.
Tysdag
23.10.12 (43)
1400-1700
Erlend & Thomas: 3t
Kommentar:
Funne fram komponent til endestoppane og lodda dei fast til kretskort.
Torsdag
25.10.12 (43)
1800-2200
Erlend & Thomas: 4t
Kommentar:
Lodda på opampen med resten av motstandane og ledningane til in og output til kortet. Treng relè til
motorstyring og elektromagnet samt kobling til rj45 og IDE kabel til altera kort.
Fredag
26.10.12 (43)
1000-1330
Erlend & Thomas: 3,5t
Kommentar:
Laget dokumentasjon
Onsdag
1.11.12 (44)
1200-1600
Erlend & Thomas: 4t
Kommentar:
Prøvd å lage algoritme, laga liste over innganger og utganger.
Fredag
2.11.12 (44)
1000-1500
Erlend & Thomas: 5t
Kommentar:
Lodda på relè, ledningane, og kopla sammen.
Mandag
5.11.12 (45)
1500-2130
Erlend & Thomas: 6,5t
Kommentar:
Lodda på resten til motorstyringen, loddet på de siste ledningene på kretskortet, monterte
datakontaktene på platen på modellen, førte kablene ut og loddet de til kretskortet. Koblet til
thandar og fikk tatt en rask test. Alle endestoppene fungerte med relè som de skulle. Vi fikk ikke
opampen til å telle slik den skulle, men vi merket oss at den viste 5v hele tiden, så det er trolig en
liten feil på loddingen som har laget en kortslutting fra 5v kilden.
Tysdag
6.11.12 (45)
1630-2130
Erlend & Thomas: 5t
Kommentar:
Feilsøkte og fant feil på opamp, det manglet en jording inn på transistorene. Koblet opp kortet til
altera, men fant etter hvert ut at utgangene på altera ikkje klarer å levere 5v til spolen til relèene,
men 3,3v. Kortet klarer heller ikkje å levere nok strøm til å få aktivert spolen. Har gjort noen forsøk
på å sette opp transistortrinn for å få aktivert spolen uten hell. I morgen skal vi se nærmere på
transistortrinn fra altera for å få aktivert relèene.
Torsdag
8.11.12 (45)
1100-1800
Erlend & Thomas: 7t
Kommentar:
Regnet ut transistortrinn motstand, koblet dette opp på brett for å teste. Fikk problem med at relè
ikkje ville slå inn, viste seg at det var +/- spenningsavhengig for å kunne trigge. Vi hadde og koblet feil
opp til altera og målte negativ spenning. Heldigvis var dette en koblingsfeil. Når vi fikk relèet til å
trigge, laget vi et kjapt testprogram for alle utgangene vi kom til å trenge, og testet alle disse med
oppkoblingen på brettet. Når dette var testet OK måtte vi lodde om på kretskortet. Vi måtte flytte
jordpotensiale til transistoren istedenfor til relèet og lodde på 7 tranistorer og motstander på 15k.
Når vi hadde gjort dette testet vi på nytt med altera og fikk til å trigge alle relèene. Etterpå «ryddet»
vi opp i kablene, og laget et hurtigprogram til å teste om kortet klarer å lese inngangssignal fra
modellen. Dette gikk bra.
Søndag
11.11.12 (45)
1500-1700
Erlend: 2t
Kommentar:
Rydda opp i ledninger frå apparat til kretskort
Mandag
12.11.12 (46)
1600-2000
Erlend & Thomas 4t
Kommentar:
Programmert program til å kjøre manuelt med knapper. Fått tellerene inn på dioder på kortet osv.
Torsdag
15.11.12 (46)
0830-1930
Erlend & Thomas 11,5t
Kommentar:
Lodda, festa kort, programmert i Altera. Laget Verilog blokk for nullstilling av posisjon, og blokk for og
teikne firkant. Testa, feilsøkt, og programmert. Vi får ikkje knapp til å fungere meir enn 1 gang per
gang me startert kort, skal spørje Marcin om dette i morgon.
Problem som har oppstått som me har fått ordna i dag:
- Vinsjen og katten gjekk litt for fort, løyste dette enkelt ved å ta vekk 12V spenning
forsyningsspenning og kopla til 5V i staden.
- Endestoppande sendte støy i starten, løyste dette ved å legge inn ein forsinkelse på 1000ms i
starten av Verilog blokken slik at spenningane fekk stabilisert seg etter opp-start.
- La til filter på alle inngangane for å forhindre at Altera skulle telle på støy, klokka me hadde inn på
desse var ein redusert variant på klokka. Mulig me må modifisere denne for å telle betre på
tellepulsane.
Fredag
16.11.12 (46)
1030-1600
Erlend & Thomas: 5t
Kommentar:
Oppdatert einlinjeskjema over kretskort. Finjustert programvare.
I morgon: Finjustere meir på programvare (Legge til endestoppkriterie på blokken til firkant)
Lage presentasjon
Laurdag
17.11.12 (46)
1500-1800
Erlend: 3t
Kommentar:
Rydda opp i modellen, laga til tralla klar for framføring, tatt bilete, begynt å planlegge presentasjon.
Måndag
19.11.12 (47)
1430-1730
Erlend & Thomas: 3t
Kommentar:
Laga til blyantholdar til elektromagnet. Laga presentasjon av prosjekt i prezi.
Tysdag
20.11.12 (47)
1300-2000
Erlend & Thomas: 7t
Kommentar:
Fått orden på tal komponentar og budsjett. Rydda opp i programmet i Quartus slik at det ser litt
betre ut. Prøvd å forbetre programmet og laga ei blokk som skal hente blyanten frå eit gitt område.
Fekk problem med programmeringa, so treng hjelp til dette i morgon. Begynt så vidt på rapport. Vist
fram programmet til representantar frå HiSF.
Onsdag
21.11.12 (47)
0830-1700
Erlend & Thomas: 7t
Kommentar:
Ordna opp i programmet, la til endestoppkriteriet (z_min != 1) (ca 4t programmering) og begynt på
rapport og presentasjon av prosjekt.
Torsdag
22.11.12 (47)
0830-1730
Erlend & Thomas: 7t
Kommentar:
Rapportskriving og finjustering av presentasjon
Fredag
23.11.12 (47)
1300-1600
Erlend & Thomas: 3t
Kommentar:
Rapportskriving etter vel gjennomført presentasjon
Laurdag
24.11.12 (47)
1500-1900
Erlend & Thomas: 3t
Kommentar:
Rapportskriving
Søndag
25.11.12 (47)
1200-1700
Erlend & Thomas: 8t
Kommentar:
Rapportskriving